Skip to content

Instantly share code, notes, and snippets.

@k3170makan
Created March 7, 2019 00:55
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save k3170makan/9444e44fb0d648506d9469b0dd068994 to your computer and use it in GitHub Desktop.
Save k3170makan/9444e44fb0d648506d9469b0dd068994 to your computer and use it in GitHub Desktop.
ICEStick Makefile example
VER=example
DEV=1k
all: $(VER).txt
icepack $(VER).txt $(VER).bin
$(VER).txt: $(VER).blif
arachne-pnr -d $(DEV) -p $(VER).pcf $(VER).blif -o $(VER).txt
$(VER).blif: $(VER).v
yosys -p 'synth_ice40 -top top -blif $(VER).blif' $(VER).v
prog: $(VER).bin
iceprog $(VER).bin
clean:
rm -f *.blif
rm -f *.bin
rm -f *.txt
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment