Skip to content

Instantly share code, notes, and snippets.

@kaushalmodi
Created November 2, 2015 17:59
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save kaushalmodi/4e50e39efa5605ad3fbb to your computer and use it in GitHub Desktop.
Save kaushalmodi/4e50e39efa5605ad3fbb to your computer and use it in GitHub Desktop.
ox-latex-table-error-debug.txt
Debugger entered--Lisp error: (void-function nil)
nil(((paragraph (:begin 740 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 740 :parent (footnote-definition (:label "fn:1" :begin 733 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent (headline ... #5)) #3)) #1)) #("Simulation is quit when this macro is called\n" 0 45 (:parent #1)))))
#[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent ...) (verbatim ...) #4))))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]((footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) #4 (table-row ...))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) #2 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5))))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) #0))))
funcall(#[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent ...) (verbatim ...) #4))))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"] (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) #4 (table-row ...))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) #2 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5))))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) #0))))
(let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc (cons result --acc)))))
(progn (let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc (cons result --acc))))))
(if (memq --type types) (progn (let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc (cons result --acc)))))))
(cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc ...)))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- (cdr ...))) (while --dolist-tail-- (let (...) (funcall --walk-tree ...) (setq --dolist-tail-- ...)))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let (...) (let ... ...) (setq --dolist-tail-- ...)))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))
(let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result ...)) (cond (...) (first-match ...) (t ...))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- ...)) (while --dolist-tail-- (let ... ... ...))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let ... ... ...))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))
(closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))))((footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) #4 (table-row ...))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) #2 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5))))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) #0))))
mapc((closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))) ((verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table ... ... ... ... ... ... ... #5 ...)) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #5) #("Fatal" 0 5 ...)) #3 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #5) (verbatim ...)))) #1 (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #3))))) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table ... ... ... ... ... ... ... #5 ...)) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #5) #("Fatal" 0 5 ...)) #3 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #5) (verbatim ...)))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #3)) #1)))))
(cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))
(cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc ...)))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- (cdr ...))) (while --dolist-tail-- (let (...) (funcall --walk-tree ...) (setq --dolist-tail-- ...)))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let (...) (let ... ...) (setq --dolist-tail-- ...)))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))
(let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result ...)) (cond (...) (first-match ...) (t ...))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- ...)) (while --dolist-tail-- (let ... ... ...))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let ... ... ...))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))
(closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))))((table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ((...)) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent ...) #4)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #4)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #4) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #5) #("Severity" 0 8 ...)) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #5) #("Macro" 0 5 ...)) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #5) #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #4)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #4) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #5) #("Info" 0 4 ...)) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #5) (verbatim ...)) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #5) #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #4) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #5) #("Warning" 0 7 ...)) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #5) (verbatim ...)) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #5) (verbatim ...))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #4) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #5) #("Error" 0 5 ...)) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #5) (verbatim ...)) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #5) (verbatim ...))) #2 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #4)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #2) #("Fatal" 0 5 (:parent #3))) #0 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #3))))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #0)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #0))))
mapc((closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))) ((table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) #1 (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4))))) #("Fatal" 0 5 (:parent #1))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) #1 (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4))))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #1)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #1))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) #1)) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #1)))))
(cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))
(cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc ...)))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- (cdr ...))) (while --dolist-tail-- (let (...) (funcall --walk-tree ...) (setq --dolist-tail-- ...)))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let (...) (let ... ...) (setq --dolist-tail-- ...)))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))
(let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result ...)) (cond (...) (first-match ...) (t ...))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- ...)) (while --dolist-tail-- (let ... ... ...))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let ... ... ...))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))
(closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))))((table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) #2)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #2)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #2) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #2)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #2) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #2) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #2) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) #0 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #2)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #0) #("Fatal" 0 5 (:parent #1))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #0) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #1)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #1))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #0) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #1)))))
mapc((closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))) ((table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) #1 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) #1 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) #1 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) #1 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) #1 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) #1 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) #1 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline ... #5)) #3)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) #1)))))
(cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))
(cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result (funcall fun --data))) (cond ((not result)) (first-match (throw :--map-first-match result)) (t (setq --acc ...)))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- (cdr ...))) (while --dolist-tail-- (let (...) (funcall --walk-tree ...) (setq --dolist-tail-- ...)))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let (...) (let ... ...) (setq --dolist-tail-- ...)))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))
(let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let ((result ...)) (cond (...) (first-match ...) (t ...))))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let ((--dolist-tail-- ...)) (while --dolist-tail-- (let ... ... ...))))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let ((--dolist-tail-- org-element--parsed-properties-alist)) (while --dolist-tail-- (let ... ... ...))))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category (quote greater-elements)) (not (memq --type org-element-greater-elements)))) ((and (eq --category (quote elements)) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))
(closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data))))))))((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))))
funcall((closure ((--walk-tree closure #1 (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data ...))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn ...)) (if (and ... ...) (progn ...)) (if (and with-affiliated ... ...) (progn ...)) (cond (...) (...) (...) (...) (t ...)))))) (--acc) (--category . objects) (no-recursion) (types footnote-reference) (with-affiliated) (no-recursion) (first-match) (info :export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ...) (fun . #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ... ... ... ... ...) ("report" "\\documentclass[11pt]{report}" ... ... ... ... ...) ("book" "\\documentclass[11pt]{book}" ... ... ... ... ...)) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference ...))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"]) (types . footnote-reference) (data table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title ... :parent ...) #4)) (table #3 (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) #3 (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) #3 (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) #3 (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5))))) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) #3 (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) #3 (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) #3 (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #5)))) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (...) :name "tab__uvm_reporting_macros" :parent (section ... #5)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #5)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #5) (table-cell ... #("Severity" 0 8 ...)) (table-cell ... #("Macro" 0 5 ...)) (table-cell ... #("Default Verbosity" 0 17 ...))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #5)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #5) (table-cell ... #("Info" 0 4 ...)) (table-cell ... ...) (table-cell ... #("No default" 0 10 ...))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #5) (table-cell ... #("Warning" 0 7 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #5) (table-cell ... #("Error" 0 5 ...)) (table-cell ... ...) (table-cell ... ...)) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #5) (table-cell ... #("Fatal" 0 5 ...)) (table-cell ... ... ...) (table-cell ... ...)) #3)))) t) (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info (memq --data (plist-get info :ignore-list)))) ((not --type) (mapc --walk-tree --data)) ((eq --type (quote org-data)) (mapc --walk-tree (org-element-contents --data))) (t (if (memq --type types) (progn (let (...) (cond ... ... ...)))) (if (and (eq --category (quote objects)) (not (stringp --data))) (progn (let (...) (while --dolist-tail-- ...)))) (if (and with-affiliated (eq --category (quote objects)) (memq --type org-element-all-elements)) (progn (let (...) (while --dolist-tail-- ...)))) (cond ((memq --type no-recursion)) ((not (org-element-contents --data))) ((and (eq --category ...) (not ...))) ((and (eq --category ...) (memq --type org-element-all-objects))) (t (mapc --walk-tree (org-element-contents --data)))))))) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))))
(catch :--map-first-match (funcall --walk-tree data) (nreverse --acc))
(let (--walk-tree) (setq --walk-tree (function (lambda (--data) (let ((--type (org-element-type --data))) (cond ((not --data)) ((and info ...)) ((not --type) (mapc --walk-tree --data)) ((eq --type ...) (mapc --walk-tree ...)) (t (if ... ...) (if ... ...) (if ... ...) (cond ... ... ... ... ...))))))) (catch :--map-first-match (funcall --walk-tree data) (nreverse --acc)))
(let* ((types (if (listp types) types (list types))) (no-recursion (if (listp no-recursion) no-recursion (list no-recursion))) (--category (catch :--found (let ((category (quote greater-elements)) (all-objects (cons ... org-element-all-objects))) (let ((--dolist-tail-- types)) (while --dolist-tail-- (let ... ... ...)) category)))) --acc) (let (--walk-tree) (setq --walk-tree (function (lambda (--data) (let ((--type ...)) (cond (...) (...) (... ...) (... ...) (t ... ... ... ...)))))) (catch :--map-first-match (funcall --walk-tree data) (nreverse --acc))))
org-element-map((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))) footnote-reference #[257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent ...) (verbatim ...) #4))))) nil org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)"] (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
#[1028 "\300\301\302\303\304\305\306   #\307\"\310\311%$\210\312\242!\207" [org-element-map footnote-reference make-byte-code 257 "\303\300\"\2050 \301\301\242B\240\210\304\211;\203 \305\306#\202# \307A@\"\266\202\310=\2050 \302\311\300\"!\207" vconcat vector [org-export-footnote-first-reference-p :type get-text-property 0 plist-get standard org-export-get-footnote-definition] 7 "\n\n(fn REF)" reverse] 15 "\n\n(fn INFO ALL-REFS SEARCH-REFS DATA)"]((:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) (((footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent ...) (table-cell ... #("Fatal" 0 5 ...)) #4 (table-cell ... ...))) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) #2))))) nil (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))))
org-latex--delayed-footnotes-definitions((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))) (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
org-latex-table((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))) #("\\hline\nSeverity & Macro & Default Verbosity\\\\\n\\hline\nInfo & \\texttt{`uvm\\_info(\"ID\", \"Message\", UVM\\_MEDIUM)} & No default\\\\\nWarning & \\texttt{`uvm\\_warning(\"ID\", \"Message\")} & \\texttt{UVM\\_NONE}\\\\\nError & \\texttt{`uvm\\_error(\"ID\", \"Message\")} & \\texttt{UVM\\_NONE}\\\\\nFatal & \\texttt{`uvm\\_fatal(\"ID\", \"Message\")} \\footnotemark & \\texttt{UVM\\_NONE}\\\\\n\\hline\n" 7 15 (:parent (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) #4 (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...))) #2 (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5))))) #("Severity" 0 8 (:parent #2)))) 18 23 (:parent (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) #4 (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) #2 (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5))))) #("Macro" 0 5 (:parent #2)))) 26 43 (:parent (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) #4 (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...))) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) #2)) #("Default Verbosity" 0 17 (:parent #2)))) 53 57 (:parent (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) #4 (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...))) #2 (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5))))) #("Info" 0 4 (:parent #2)))) 112 122 (:parent (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) #4 (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...))) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) #2)) #("No default" 0 10 (:parent #2)))) 125 132 (:parent (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) #4 (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...))) #2 (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5))))) #("Warning" 0 7 (:parent #2)))) 198 203 (:parent (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) #4 (table-row ... ... ... ...) (table-row ...))) #2 (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5))))) #("Error" 0 5 (:parent #2)))) 267 272 (:parent (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent ...) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) #4 (table-row ...))) #2 (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5))))) #("Fatal" 0 5 (:parent #2))))) (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
org-export-data((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))) (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
#[257 "\301\300\"\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) org-export-data] 4 "\n\n(fn ELEMENT)"]((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #4))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #4 (headline ... ...))) #2)) #0)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #0)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #0) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #1) #("Severity" 0 8 (:parent #2))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #1) #("Macro" 0 5 (:parent #2))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #1) #("Default Verbosity" 0 17 (:parent #2)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #0)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #0) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #1) #("Info" 0 4 (:parent #2))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #1) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #2))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #1) #("No default" 0 10 (:parent #2)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #0) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #1) #("Warning" 0 7 (:parent #2))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #1) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #2))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #0) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #1) #("Error" 0 5 (:parent #2))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #1) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #2))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #2)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #0) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #1) #("Fatal" 0 5 (:parent #2))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #1) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #2)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #2))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #1) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #2)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #0))))
mapconcat(#[257 "\301\300\"\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) org-export-data] 4 "\n\n(fn ELEMENT)"] ((table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #5))))) :name "tab__uvm_reporting_macros" :parent (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent (org-data nil #5 ...)) #3)) #1)) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #1)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #1) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #2) #("Severity" 0 8 (:parent #3))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #2) #("Macro" 0 5 (:parent #3))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #2) #("Default Verbosity" 0 17 (:parent #3)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #1)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #1) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #2) #("Info" 0 4 (:parent #3))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #2) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #3))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #2) #("No default" 0 10 (:parent #3)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #1) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #2) #("Warning" 0 7 (:parent #3))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #2) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #3))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #3)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #1) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #2) #("Error" 0 5 (:parent #3))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #2) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #3))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #3)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #1) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #2) #("Fatal" 0 5 (:parent #3))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #2) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #3)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #3))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #3)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #1)))) "")
org-export-data((section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #2))) :parent (org-data nil #2 (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 ...)) :parent #4) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #5) (footnote-definition ... ...))))) #0)) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #5))))) :name "tab__uvm_reporting_macros" :parent #0) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #1)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #1) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #2) #("Severity" 0 8 (:parent #3))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #2) #("Macro" 0 5 (:parent #3))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #2) #("Default Verbosity" 0 17 (:parent #3)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #1)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #1) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #2) #("Info" 0 4 (:parent #3))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #2) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #3))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #2) #("No default" 0 10 (:parent #3)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #1) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #2) #("Warning" 0 7 (:parent #3))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #2) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #3))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #3)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #1) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #2) #("Error" 0 5 (:parent #3))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #2) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #3))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #3)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #1) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #2) #("Fatal" 0 5 (:parent #3))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #2) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #3)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #3))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #3)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #1)))) (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
#[257 "\301\300\"\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) org-export-data] 4 "\n\n(fn ELEMENT)"]((section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #2))) :parent (org-data nil #2 (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 ...)) :parent #4) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #5) (footnote-definition ... ...))))) #0)) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 (:parent #5))))) :name "tab__uvm_reporting_macros" :parent #0) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #1)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #1) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #2) #("Severity" 0 8 (:parent #3))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #2) #("Macro" 0 5 (:parent #3))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #2) #("Default Verbosity" 0 17 (:parent #3)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #1)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #1) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #2) #("Info" 0 4 (:parent #3))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #2) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #3))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #2) #("No default" 0 10 (:parent #3)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #1) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #2) #("Warning" 0 7 (:parent #3))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #2) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #3))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #3)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #1) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #2) #("Error" 0 5 (:parent #3))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #2) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #3))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #3)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #1) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #2) #("Fatal" 0 5 (:parent #3))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #2) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #3)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #3))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #2) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #3)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #1)))))
mapconcat(#[257 "\301\300\"\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) org-export-data] 4 "\n\n(fn ELEMENT)"] ((section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #3))) :parent (org-data nil #3 (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title ... :parent #5) (section ... ...)))) #1)) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent #1) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #2)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #2) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #2)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #2) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #2) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #2) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #2) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #2))))) "")
org-export-data((headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #0))) :parent (org-data nil #0 (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 (:parent #3))) :parent #2) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #3) (footnote-definition (:label "fn:1" :begin 733 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #4) (paragraph (:begin 740 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 740 :parent #5) #("Simulation is quit when this macro is called\n" 0 45 ...))))))) (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent #0) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent #1) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #2)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #2) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #2)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #2) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #2) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #2) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #2) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #2))))) (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
#[257 "\301\300\"\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) org-export-data] 4 "\n\n(fn ELEMENT)"]((headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #0))) :parent (org-data nil #0 (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 (:parent #3))) :parent #2) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #3) (footnote-definition (:label "fn:1" :begin 733 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #4) (paragraph (:begin 740 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 740 :parent #5) #("Simulation is quit when this macro is called\n" 0 45 ...))))))) (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent #0) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent #1) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #2)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #2) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #3) #("Severity" 0 8 (:parent #4))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #3) #("Macro" 0 5 (:parent #4))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #3) #("Default Verbosity" 0 17 (:parent #4)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #2)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #2) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #3) #("Info" 0 4 (:parent #4))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #3) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #4))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #3) #("No default" 0 10 (:parent #4)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #2) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #3) #("Warning" 0 7 (:parent #4))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #3) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #4))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #2) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #3) #("Error" 0 5 (:parent #4))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #3) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #4))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #4)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #2) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #3) #("Fatal" 0 5 (:parent #4))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #3) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #4)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #4))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #3) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #4)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #2))))))
mapconcat(#[257 "\301\300\"\207" [(:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...) org-export-data] 4 "\n\n(fn ELEMENT)"] ((headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #1))) :parent (org-data nil #1 (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 ...)) :parent #3) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #4) (footnote-definition (:label "fn:1" :begin 733 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #5) (paragraph ... #("Simulation is quit when this macro is called\n" 0 45 ...))))))) (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent #1) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent #2) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3))))) (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 (:parent #1))) :parent (org-data nil (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 ...)) :parent #3) (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent #4) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption ... :name "tab__uvm_reporting_macros" :parent #5) (table-row ...) (table-row ... ... ... ...) (table-row ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ... ... ... ...) (table-row ...)))) #1)) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #1) (footnote-definition (:label "fn:1" :begin 733 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #2) (paragraph (:begin 740 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 740 :parent #3) #("Simulation is quit when this macro is called\n" 0 45 (:parent #4))))))) "")
org-export-data((org-data nil (headline (:raw-value "Severity" :begin 1 :end 721 :pre-blank 0 :contents-begin 12 :contents-end 719 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 2 :footnote-section-p nil :archivedp nil :commentedp nil :post-affiliated 1 :title (#("Severity" 0 8 (:parent #1))) :parent #0) (section (:begin 12 :end 721 :contents-begin 12 :contents-end 719 :post-blank 2 :post-affiliated 12 :parent #1) (table (:begin 12 :end 719 :type org :tblfm nil :contents-begin 111 :contents-end 719 :value nil :post-blank 0 :post-affiliated 111 :caption (((#("Macros for reporting messages of different severities" 0 53 ...)))) :name "tab__uvm_reporting_macros" :parent #2) (table-row (:type rule :begin 111 :end 187 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 111 :parent #3)) (table-row (:type standard :begin 187 :end 263 :contents-begin 188 :contents-end 262 :post-blank 0 :post-affiliated 187 :parent #3) (table-cell (:begin 188 :end 199 :contents-begin 189 :contents-end 197 :post-blank 0 :parent #4) #("Severity" 0 8 (:parent #5))) (table-cell (:begin 199 :end 242 :contents-begin 200 :contents-end 205 :post-blank 0 :parent #4) #("Macro" 0 5 (:parent #5))) (table-cell (:begin 242 :end 262 :contents-begin 243 :contents-end 260 :post-blank 0 :parent #4) #("Default Verbosity" 0 17 (:parent #5)))) (table-row (:type rule :begin 263 :end 339 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 263 :parent #3)) (table-row (:type standard :begin 339 :end 415 :contents-begin 340 :contents-end 414 :post-blank 0 :post-affiliated 339 :parent #3) (table-cell (:begin 340 :end 351 :contents-begin 341 :contents-end 345 :post-blank 0 :parent #4) #("Info" 0 4 (:parent #5))) (table-cell (:begin 351 :end 394 :contents-begin 352 :contents-end 392 :post-blank 0 :parent #4) (verbatim (:value "`uvm_info(\"ID\", \"Message\", UVM_MEDIUM)" :begin 352 :end 392 :post-blank 0 :parent #5))) (table-cell (:begin 394 :end 414 :contents-begin 395 :contents-end 405 :post-blank 0 :parent #4) #("No default" 0 10 (:parent #5)))) (table-row (:type standard :begin 415 :end 491 :contents-begin 416 :contents-end 490 :post-blank 0 :post-affiliated 415 :parent #3) (table-cell (:begin 416 :end 427 :contents-begin 417 :contents-end 424 :post-blank 0 :parent #4) #("Warning" 0 7 (:parent #5))) (table-cell (:begin 427 :end 470 :contents-begin 428 :contents-end 459 :post-blank 0 :parent #4) (verbatim (:value "`uvm_warning(\"ID\", \"Message\")" :begin 428 :end 459 :post-blank 0 :parent #5))) (table-cell (:begin 470 :end 490 :contents-begin 471 :contents-end 481 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 471 :end 481 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 491 :end 567 :contents-begin 492 :contents-end 566 :post-blank 0 :post-affiliated 491 :parent #3) (table-cell (:begin 492 :end 503 :contents-begin 493 :contents-end 498 :post-blank 0 :parent #4) #("Error" 0 5 (:parent #5))) (table-cell (:begin 503 :end 546 :contents-begin 504 :contents-end 533 :post-blank 0 :parent #4) (verbatim (:value "`uvm_error(\"ID\", \"Message\")" :begin 504 :end 533 :post-blank 0 :parent #5))) (table-cell (:begin 546 :end 566 :contents-begin 547 :contents-end 557 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 547 :end 557 :post-blank 0 :parent #5)))) (table-row (:type standard :begin 567 :end 643 :contents-begin 568 :contents-end 642 :post-blank 0 :post-affiliated 567 :parent #3) (table-cell (:begin 568 :end 579 :contents-begin 569 :contents-end 574 :post-blank 0 :parent #4) #("Fatal" 0 5 (:parent #5))) (table-cell (:begin 579 :end 622 :contents-begin 580 :contents-end 616 :post-blank 0 :parent #4) (verbatim (:value "`uvm_fatal(\"ID\", \"Message\")" :begin 580 :end 610 :post-blank 1 :parent #5)) (footnote-reference (:label "fn:1" :type standard :begin 610 :end 616 :contents-begin nil :contents-end nil :post-blank 0 :parent #5))) (table-cell (:begin 622 :end 642 :contents-begin 623 :contents-end 633 :post-blank 0 :parent #4) (verbatim (:value "UVM_NONE" :begin 623 :end 633 :post-blank 0 :parent #5)))) (table-row (:type rule :begin 643 :end 719 :contents-begin nil :contents-end nil :post-blank 0 :post-affiliated 643 :parent #3))))) (headline (:raw-value "Footnotes" :begin 721 :end 785 :pre-blank 0 :contents-begin 733 :contents-end 785 :level 1 :priority nil :tags nil :todo-keyword nil :todo-type nil :post-blank 0 :footnote-section-p t :archivedp nil :commentedp nil :post-affiliated 721 :title (#("Footnotes" 0 9 (:parent #1))) :parent #0) (section (:begin 733 :end 785 :contents-begin 733 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #1) (footnote-definition (:label "fn:1" :begin 733 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 733 :parent #2) (paragraph (:begin 740 :end 785 :contents-begin 740 :contents-end 785 :post-blank 0 :post-affiliated 740 :parent #3) #("Simulation is quit when this macro is called\n" 0 45 (:parent #4))))))) (:export-options nil :input-buffer "ox-latex-table-export-error.org" :input-file "/home/kmodi/sandbox/org/ox-latex-table-export-error.org" :latex-class "article" :latex-class-options nil :latex-header nil :latex-header-extra nil :description nil :keywords nil :subtitle nil :latex-active-timestamp-format "\\textit{%s}" :latex-caption-above (table) :latex-classes (("article" "\\documentclass[11pt]{article}" ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}") ("\\paragraph{%s}" . "\\paragraph*{%s}") ("\\subparagraph{%s}" . "\\subparagraph*{%s}")) ("report" "\\documentclass[11pt]{report}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}")) ("book" "\\documentclass[11pt]{book}" ("\\part{%s}" . "\\part*{%s}") ("\\chapter{%s}" . "\\chapter*{%s}") ("\\section{%s}" . "\\section*{%s}") ("\\subsection{%s}" . "\\subsection*{%s}") ("\\subsubsection{%s}" . "\\subsubsection*{%s}"))) :latex-default-figure-position "htb" :latex-default-table-environment "tabular" :latex-default-table-mode table :latex-diary-timestamp-format "\\textit{%s}" :latex-footnote-separator "\\textsuperscript{,}\\," :latex-format-drawer-function #[514 "\207" [] 3 "\n\n(fn _ CONTENTS)"] :latex-format-headline-function org-latex-format-headline-default-function :latex-format-inlinetask-function org-latex-format-inlinetask-default-function :latex-hyperref-template "\\hypersetup{\n pdfauthor={%a},\n pdftitle={%t},\n pdfkeywords={%k},\n pdfsubject={%d},\n pdfcreator={%c}, \n pdflang={%L}}\n" :latex-image-default-height "" :latex-image-default-option "" :latex-image-default-width ".9\\linewidth" ...))
org-export-as(latex nil nil nil (:output-file "./ox-latex-table-export-error.tex"))
org-export-to-file(latex "./ox-latex-table-export-error.tex" nil nil nil nil nil)
org-latex-export-to-latex(nil nil nil nil)
org-export-dispatch(nil)
funcall-interactively(org-export-dispatch nil)
call-interactively(org-export-dispatch nil nil)
command-execute(org-export-dispatch)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment