Skip to content

Instantly share code, notes, and snippets.

@kbob
Last active November 20, 2018 18:46
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save kbob/7bdd75109e2b554fe332ccb6305c8140 to your computer and use it in GitHub Desktop.
Save kbob/7bdd75109e2b554fe332ccb6305c8140 to your computer and use it in GitHub Desktop.
# 12 MHz clock
set_io -nowarn CLK 35
# RS232
set_io -nowarn RX 6
set_io -nowarn TX 9
# LEDs and Button
set_io -nowarn BTN_N 10
set_io -nowarn LEDR_N 11
set_io -nowarn LEDG_N 37
# RGB LED Driver
set_io -nowarn LED_RED_N 39
set_io -nowarn LED_GRN_N 40
set_io -nowarn LED_BLU_N 41
# SPI Flash
set_io -nowarn FLASH_SCK 15
set_io -nowarn FLASH_SSB 16
set_io -nowarn FLASH_IO0 14
set_io -nowarn FLASH_IO1 17
set_io -nowarn FLASH_IO2 12
set_io -nowarn FLASH_IO3 13
# PMOD 1A
set_io -nowarn P1A1 4
set_io -nowarn P1A2 2
set_io -nowarn P1A3 47
set_io -nowarn P1A4 45
set_io -nowarn P1A7 3
set_io -nowarn P1A8 48
set_io -nowarn P1A9 46
set_io -nowarn P1A10 44
# PMOD 1B
set_io -nowarn P1B1 43
set_io -nowarn P1B2 38
set_io -nowarn P1B3 34
set_io -nowarn P1B4 31
set_io -nowarn P1B7 42
set_io -nowarn P1B8 36
set_io -nowarn P1B9 32
set_io -nowarn P1B10 28
# PMOD 2
set_io -nowarn P2_1 27
set_io -nowarn P2_2 25
set_io -nowarn P2_3 21
set_io -nowarn P2_4 19
set_io -nowarn P2_7 26
set_io -nowarn P2_8 23
set_io -nowarn P2_9 20
set_io -nowarn P2_10 18
# LEDs and Buttons (PMOD 2)
set_io -nowarn LED1 26
set_io -nowarn LED2 27
set_io -nowarn LED3 25
set_io -nowarn LED4 23
set_io -nowarn LED5 21
set_io -nowarn BTN1 20
set_io -nowarn BTN2 19
set_io -nowarn BTN3 18
{
"creator": "Yosys 0.8+ 20 (git sha1 7703be04, clang 10.0.0 -fPIC -Os)",
"modules": {
"ICESTORM_LC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
},
"ports": {
"I0": {
"direction": "input",
"bits": [ 2 ]
},
"I1": {
"direction": "input",
"bits": [ 3 ]
},
"I2": {
"direction": "input",
"bits": [ 4 ]
},
"I3": {
"direction": "input",
"bits": [ 5 ]
},
"CIN": {
"direction": "input",
"bits": [ 6 ]
},
"CLK": {
"direction": "input",
"bits": [ 7 ]
},
"CEN": {
"direction": "input",
"bits": [ 8 ]
},
"SR": {
"direction": "input",
"bits": [ 9 ]
},
"LO": {
"direction": "output",
"bits": [ 10 ]
},
"O": {
"direction": "output",
"bits": [ 11 ]
},
"COUT": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CEN": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"CIN": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"COUT": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
}
},
"I0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"I1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"I2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"I3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
},
"LO": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
}
},
"O": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
}
},
"SR": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
}
}
}
},
"SB_CARRY": {
"attributes": {
"blackbox": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"CI": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"CI": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
}
}
}
},
"SB_DFF": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
}
}
}
},
"SB_DFFE": {
"attributes": {
"blackbox": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
}
}
}
},
"SB_DFFER": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
}
}
}
},
"SB_DFFES": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
}
}
}
},
"SB_DFFESR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
}
}
}
},
"SB_DFFESS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
}
}
}
},
"SB_DFFN": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
}
}
}
},
"SB_DFFNE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
}
}
}
},
"SB_DFFNER": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
}
}
}
},
"SB_DFFNES": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
}
}
}
},
"SB_DFFNESR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
}
}
}
},
"SB_DFFNESS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
}
}
}
},
"SB_DFFNR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
}
}
}
},
"SB_DFFNS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
}
}
}
},
"SB_DFFNSR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
}
}
}
},
"SB_DFFNSS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
}
}
}
},
"SB_DFFR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
}
}
}
},
"SB_DFFS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
}
}
}
},
"SB_DFFSR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
}
}
}
},
"SB_DFFSS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
}
}
}
},
"SB_FILTER_50NS": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
},
"ports": {
"FILTERIN": {
"direction": "input",
"bits": [ 2 ]
},
"FILTEROUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"FILTERIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
}
},
"FILTEROUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
}
}
}
},
"SB_GB": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
},
"ports": {
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"direction": "input",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
}
},
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
}
}
}
},
"SB_GB_IO": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 4 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 5 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 7 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 9 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 10 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 11 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
}
},
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
}
}
}
},
"SB_HFOSC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
},
"ports": {
"CLKHFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKHFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKHF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKHF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
}
},
"CLKHFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
}
},
"CLKHFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
}
}
}
},
"SB_I2C": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"SCLI": {
"direction": "input",
"bits": [ 21 ]
},
"SDAI": {
"direction": "input",
"bits": [ 22 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 23 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 24 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 30 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 31 ]
},
"I2CIRQ": {
"direction": "output",
"bits": [ 32 ]
},
"I2CWKUP": {
"direction": "output",
"bits": [ 33 ]
},
"SCLO": {
"direction": "output",
"bits": [ 34 ]
},
"SCLOE": {
"direction": "output",
"bits": [ 35 ]
},
"SDAO": {
"direction": "output",
"bits": [ 36 ]
},
"SDAOE": {
"direction": "output",
"bits": [ 37 ]
}
},
"cells": {
},
"netnames": {
"I2CIRQ": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
}
},
"I2CWKUP": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
}
},
"SCLI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
}
},
"SCLO": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
}
},
"SCLOE": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
}
},
"SDAI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
}
},
"SDAO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
}
},
"SDAOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
}
}
}
},
"SB_IO": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
}
}
}
},
"SB_IO_I3C": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
},
"PU_ENB": {
"direction": "input",
"bits": [ 12 ]
},
"WEAK_PU_ENB": {
"direction": "input",
"bits": [ 13 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
}
},
"PU_ENB": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
}
},
"WEAK_PU_ENB": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
}
}
}
},
"SB_IO_OD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
},
"ports": {
"PACKAGEPIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCKENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUTCLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUTCLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUTENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"DOUT1": {
"direction": "input",
"bits": [ 8 ]
},
"DOUT0": {
"direction": "input",
"bits": [ 9 ]
},
"DIN1": {
"direction": "output",
"bits": [ 10 ]
},
"DIN0": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCKENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
}
},
"DIN0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
}
},
"DIN1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
}
},
"DOUT0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
}
},
"DOUT1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
}
},
"INPUTCLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
}
},
"OUTPUTCLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
}
},
"OUTPUTENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
}
}
}
},
"SB_LEDDA_IP": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
},
"ports": {
"LEDDCS": {
"direction": "input",
"bits": [ 2 ]
},
"LEDDCLK": {
"direction": "input",
"bits": [ 3 ]
},
"LEDDDAT7": {
"direction": "input",
"bits": [ 4 ]
},
"LEDDDAT6": {
"direction": "input",
"bits": [ 5 ]
},
"LEDDDAT5": {
"direction": "input",
"bits": [ 6 ]
},
"LEDDDAT4": {
"direction": "input",
"bits": [ 7 ]
},
"LEDDDAT3": {
"direction": "input",
"bits": [ 8 ]
},
"LEDDDAT2": {
"direction": "input",
"bits": [ 9 ]
},
"LEDDDAT1": {
"direction": "input",
"bits": [ 10 ]
},
"LEDDDAT0": {
"direction": "input",
"bits": [ 11 ]
},
"LEDDADDR3": {
"direction": "input",
"bits": [ 12 ]
},
"LEDDADDR2": {
"direction": "input",
"bits": [ 13 ]
},
"LEDDADDR1": {
"direction": "input",
"bits": [ 14 ]
},
"LEDDADDR0": {
"direction": "input",
"bits": [ 15 ]
},
"LEDDDEN": {
"direction": "input",
"bits": [ 16 ]
},
"LEDDEXE": {
"direction": "input",
"bits": [ 17 ]
},
"LEDDRST": {
"direction": "input",
"bits": [ 18 ]
},
"PWMOUT0": {
"direction": "output",
"bits": [ 19 ]
},
"PWMOUT1": {
"direction": "output",
"bits": [ 20 ]
},
"PWMOUT2": {
"direction": "output",
"bits": [ 21 ]
},
"LEDDON": {
"direction": "output",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"LEDDADDR0": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
}
},
"LEDDADDR1": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
}
},
"LEDDADDR2": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
}
},
"LEDDADDR3": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
}
},
"LEDDCLK": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
}
},
"LEDDCS": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
}
},
"LEDDDAT0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
}
},
"LEDDDAT1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
}
},
"LEDDDAT2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
}
},
"LEDDDAT3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
}
},
"LEDDDAT4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
}
},
"LEDDDAT5": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
}
},
"LEDDDAT6": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
}
},
"LEDDDAT7": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
}
},
"LEDDDEN": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
}
},
"LEDDEXE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
}
},
"LEDDON": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
}
},
"LEDDRST": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
}
},
"PWMOUT0": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
}
},
"PWMOUT1": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
}
},
"PWMOUT2": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
}
}
}
},
"SB_LFOSC": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
},
"ports": {
"CLKLFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKLFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKLF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKLF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
}
},
"CLKLFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
}
},
"CLKLFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
}
}
}
},
"SB_LUT4": {
"attributes": {
"blackbox": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
},
"ports": {
"O": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"I2": {
"direction": "input",
"bits": [ 5 ]
},
"I3": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
}
},
"I2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
}
},
"I3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
}
},
"O": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
}
}
}
},
"SB_MAC16": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"CE": {
"direction": "input",
"bits": [ 3 ]
},
"C": {
"direction": "input",
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
},
"A": {
"direction": "input",
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
},
"B": {
"direction": "input",
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
},
"D": {
"direction": "input",
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
},
"AHOLD": {
"direction": "input",
"bits": [ 68 ]
},
"BHOLD": {
"direction": "input",
"bits": [ 69 ]
},
"CHOLD": {
"direction": "input",
"bits": [ 70 ]
},
"DHOLD": {
"direction": "input",
"bits": [ 71 ]
},
"IRSTTOP": {
"direction": "input",
"bits": [ 72 ]
},
"IRSTBOT": {
"direction": "input",
"bits": [ 73 ]
},
"ORSTTOP": {
"direction": "input",
"bits": [ 74 ]
},
"ORSTBOT": {
"direction": "input",
"bits": [ 75 ]
},
"OLOADTOP": {
"direction": "input",
"bits": [ 76 ]
},
"OLOADBOT": {
"direction": "input",
"bits": [ 77 ]
},
"ADDSUBTOP": {
"direction": "input",
"bits": [ 78 ]
},
"ADDSUBBOT": {
"direction": "input",
"bits": [ 79 ]
},
"OHOLDTOP": {
"direction": "input",
"bits": [ 80 ]
},
"OHOLDBOT": {
"direction": "input",
"bits": [ 81 ]
},
"CI": {
"direction": "input",
"bits": [ 82 ]
},
"ACCUMCI": {
"direction": "input",
"bits": [ 83 ]
},
"SIGNEXTIN": {
"direction": "input",
"bits": [ 84 ]
},
"O": {
"direction": "output",
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
},
"CO": {
"direction": "output",
"bits": [ 117 ]
},
"ACCUMCO": {
"direction": "output",
"bits": [ 118 ]
},
"SIGNEXTOUT": {
"direction": "output",
"bits": [ 119 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
}
},
"ACCUMCI": {
"hide_name": 0,
"bits": [ 83 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
}
},
"ACCUMCO": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
}
},
"ADDSUBBOT": {
"hide_name": 0,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
}
},
"ADDSUBTOP": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
}
},
"AHOLD": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
}
},
"B": {
"hide_name": 0,
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
}
},
"BHOLD": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
}
},
"C": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
}
},
"CE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
}
},
"CHOLD": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
}
},
"CI": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
}
},
"CO": {
"hide_name": 0,
"bits": [ 117 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
}
},
"D": {
"hide_name": 0,
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
}
},
"DHOLD": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
}
},
"IRSTBOT": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
}
},
"IRSTTOP": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
}
},
"O": {
"hide_name": 0,
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
}
},
"OHOLDBOT": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
}
},
"OHOLDTOP": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
}
},
"OLOADBOT": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
}
},
"OLOADTOP": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
}
},
"ORSTBOT": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
}
},
"ORSTTOP": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
}
},
"SIGNEXTIN": {
"hide_name": 0,
"bits": [ 84 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
}
},
"SIGNEXTOUT": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
}
}
}
},
"SB_PLL40_2F_CORE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
}
}
}
},
"SB_PLL40_2F_PAD": {
"attributes": {
"blackbox": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
}
}
}
},
"SB_PLL40_2_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
}
}
}
},
"SB_PLL40_CORE": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
}
}
}
},
"SB_PLL40_PAD": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
}
}
}
},
"SB_RAM40_4K": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
}
}
}
},
"SB_RAM40_4KNR": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
}
}
}
},
"SB_RAM40_4KNRNW": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
}
}
}
},
"SB_RAM40_4KNW": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
},
"netnames": {
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
}
}
}
},
"SB_RGBA_DRV": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
},
"ports": {
"CURREN": {
"direction": "input",
"bits": [ 2 ]
},
"RGBLEDEN": {
"direction": "input",
"bits": [ 3 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"CURREN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
}
},
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
}
}
}
},
"SB_SPI": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"MI": {
"direction": "input",
"bits": [ 21 ]
},
"SI": {
"direction": "input",
"bits": [ 22 ]
},
"SCKI": {
"direction": "input",
"bits": [ 23 ]
},
"SCSNI": {
"direction": "input",
"bits": [ 24 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 30 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 31 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 32 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 33 ]
},
"SPIIRQ": {
"direction": "output",
"bits": [ 34 ]
},
"SPIWKUP": {
"direction": "output",
"bits": [ 35 ]
},
"SO": {
"direction": "output",
"bits": [ 36 ]
},
"SOE": {
"direction": "output",
"bits": [ 37 ]
},
"MO": {
"direction": "output",
"bits": [ 38 ]
},
"MOE": {
"direction": "output",
"bits": [ 39 ]
},
"SCKO": {
"direction": "output",
"bits": [ 40 ]
},
"SCKOE": {
"direction": "output",
"bits": [ 41 ]
},
"MCSNO3": {
"direction": "output",
"bits": [ 42 ]
},
"MCSNO2": {
"direction": "output",
"bits": [ 43 ]
},
"MCSNO1": {
"direction": "output",
"bits": [ 44 ]
},
"MCSNO0": {
"direction": "output",
"bits": [ 45 ]
},
"MCSNOE3": {
"direction": "output",
"bits": [ 46 ]
},
"MCSNOE2": {
"direction": "output",
"bits": [ 47 ]
},
"MCSNOE1": {
"direction": "output",
"bits": [ 48 ]
},
"MCSNOE0": {
"direction": "output",
"bits": [ 49 ]
}
},
"cells": {
},
"netnames": {
"MCSNO0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
}
},
"MCSNO1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
}
},
"MCSNO2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
}
},
"MCSNO3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
}
},
"MCSNOE0": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
}
},
"MCSNOE1": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
}
},
"MCSNOE2": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
}
},
"MCSNOE3": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
}
},
"MI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
}
},
"MO": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
}
},
"MOE": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
}
},
"SCKI": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
}
},
"SCKO": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
}
},
"SCKOE": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
}
},
"SCSNI": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
}
},
"SI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
}
},
"SO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
}
},
"SOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
}
},
"SPIIRQ": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
}
},
"SPIWKUP": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
}
}
}
},
"SB_SPRAM256KA": {
"attributes": {
"blackbox": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
},
"ports": {
"ADDRESS": {
"direction": "input",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"DATAIN": {
"direction": "input",
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"MASKWREN": {
"direction": "input",
"bits": [ 32, 33, 34, 35 ]
},
"WREN": {
"direction": "input",
"bits": [ 36 ]
},
"CHIPSELECT": {
"direction": "input",
"bits": [ 37 ]
},
"CLOCK": {
"direction": "input",
"bits": [ 38 ]
},
"STANDBY": {
"direction": "input",
"bits": [ 39 ]
},
"SLEEP": {
"direction": "input",
"bits": [ 40 ]
},
"POWEROFF": {
"direction": "input",
"bits": [ 41 ]
},
"DATAOUT": {
"direction": "output",
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
}
},
"cells": {
},
"netnames": {
"ADDRESS": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
}
},
"CHIPSELECT": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
}
},
"CLOCK": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
}
},
"DATAIN": {
"hide_name": 0,
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
}
},
"DATAOUT": {
"hide_name": 0,
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
}
},
"MASKWREN": {
"hide_name": 0,
"bits": [ 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
}
},
"POWEROFF": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
}
},
"SLEEP": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
}
},
"STANDBY": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
}
},
"WREN": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
}
}
}
},
"SB_WARMBOOT": {
"attributes": {
"blackbox": 1,
"keep": 1,
"cells_not_processed": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
},
"ports": {
"BOOT": {
"direction": "input",
"bits": [ 2 ]
},
"S1": {
"direction": "input",
"bits": [ 3 ]
},
"S0": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"BOOT": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
}
},
"S0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
}
},
"S1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
}
}
}
},
"top": {
"attributes": {
"top": 1,
"src": "top.v:5"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"BTN_N": {
"direction": "input",
"bits": [ 3 ]
},
"LED1": {
"direction": "output",
"bits": [ 4 ]
},
"LED2": {
"direction": "output",
"bits": [ 5 ]
},
"LED3": {
"direction": "output",
"bits": [ 6 ]
},
"LED4": {
"direction": "output",
"bits": [ 6 ]
},
"LED5": {
"direction": "output",
"bits": [ 7 ]
},
"P1A1": {
"direction": "output",
"bits": [ 8 ]
},
"P1A2": {
"direction": "output",
"bits": [ 9 ]
},
"P1A3": {
"direction": "output",
"bits": [ 10 ]
},
"P1A4": {
"direction": "output",
"bits": [ 7 ]
}
},
"cells": {
"$abc$1495$auto$blifparse.cc:492:parse_blif$1496": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 8
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 10 ],
"I1": [ 11 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 12 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1497": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 8
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 11 ],
"I1": [ 13 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 14 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1498": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 8
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 11 ],
"I1": [ 15 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 16 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1499": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 128
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 10 ],
"I1": [ 11 ],
"I2": [ 17 ],
"I3": [ "0" ],
"O": [ 18 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1500": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 9
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 10 ],
"I1": [ 19 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 20 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1501": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 8
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 8 ],
"I1": [ 3 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 4 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1502": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 8
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 21 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 5 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1503": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 8
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 22 ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 6 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1504": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 1
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 23 ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 24 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1505": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 1
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 25 ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 26 ]
}
},
"$abc$1495$auto$blifparse.cc:492:parse_blif$1506": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 1
},
"attributes": {
"module_not_derived": 1,
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 27 ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ "0" ],
"O": [ 28 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[0].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "1" ],
"I2": [ 13 ],
"I3": [ "0" ],
"O": [ 29 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[10].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 31 ],
"O": [ 32 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[10].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 31 ],
"CO": [ 33 ],
"I0": [ "0" ],
"I1": [ 30 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[11].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 34 ],
"I3": [ 33 ],
"O": [ 35 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[11].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 33 ],
"CO": [ 36 ],
"I0": [ "0" ],
"I1": [ 34 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[12].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 37 ],
"I3": [ 36 ],
"O": [ 38 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[12].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 36 ],
"CO": [ 39 ],
"I0": [ "0" ],
"I1": [ 37 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[13].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 40 ],
"I3": [ 39 ],
"O": [ 41 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[13].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 39 ],
"CO": [ 42 ],
"I0": [ "0" ],
"I1": [ 40 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[14].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 43 ],
"I3": [ 42 ],
"O": [ 44 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[14].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 42 ],
"CO": [ 45 ],
"I0": [ "0" ],
"I1": [ 43 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[15].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 46 ],
"I3": [ 45 ],
"O": [ 47 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[15].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 45 ],
"CO": [ 48 ],
"I0": [ "0" ],
"I1": [ 46 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[16].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 49 ],
"I3": [ 48 ],
"O": [ 50 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[16].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 48 ],
"CO": [ 51 ],
"I0": [ "0" ],
"I1": [ 49 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[17].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 52 ],
"I3": [ 51 ],
"O": [ 53 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[17].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 51 ],
"CO": [ 54 ],
"I0": [ "0" ],
"I1": [ 52 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[18].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 55 ],
"I3": [ 54 ],
"O": [ 56 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[18].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 54 ],
"CO": [ 57 ],
"I0": [ "0" ],
"I1": [ 55 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[19].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 58 ],
"I3": [ 57 ],
"O": [ 59 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[19].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 57 ],
"CO": [ 60 ],
"I0": [ "0" ],
"I1": [ 58 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[1].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 13 ],
"CO": [ 61 ],
"I0": [ "0" ],
"I1": [ 23 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[20].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 62 ],
"I3": [ 60 ],
"O": [ 63 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[20].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 60 ],
"CO": [ 64 ],
"I0": [ "0" ],
"I1": [ 62 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[21].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 65 ],
"I3": [ 64 ],
"O": [ 66 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[21].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 64 ],
"CO": [ 67 ],
"I0": [ "0" ],
"I1": [ 65 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[22].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 68 ],
"I3": [ 67 ],
"O": [ 69 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[22].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 67 ],
"CO": [ 70 ],
"I0": [ "0" ],
"I1": [ 68 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[23].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 71 ],
"I3": [ 70 ],
"O": [ 72 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[23].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 70 ],
"CO": [ 73 ],
"I0": [ "0" ],
"I1": [ 71 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[24].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 8 ],
"I3": [ 73 ],
"O": [ 74 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[2].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 75 ],
"I3": [ 61 ],
"O": [ 76 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[2].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 61 ],
"CO": [ 77 ],
"I0": [ "0" ],
"I1": [ 75 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[3].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 78 ],
"I3": [ 77 ],
"O": [ 79 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[3].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 77 ],
"CO": [ 80 ],
"I0": [ "0" ],
"I1": [ 78 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[4].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 81 ],
"I3": [ 80 ],
"O": [ 82 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[4].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 80 ],
"CO": [ 83 ],
"I0": [ "0" ],
"I1": [ 81 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[5].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 84 ],
"I3": [ 83 ],
"O": [ 85 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[5].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 83 ],
"CO": [ 86 ],
"I0": [ "0" ],
"I1": [ 84 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[6].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 87 ],
"I3": [ 86 ],
"O": [ 88 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[6].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 86 ],
"CO": [ 89 ],
"I0": [ "0" ],
"I1": [ 87 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[7].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 90 ],
"I3": [ 89 ],
"O": [ 91 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[7].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 89 ],
"CO": [ 92 ],
"I0": [ "0" ],
"I1": [ 90 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[8].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 93 ],
"I3": [ 92 ],
"O": [ 94 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[8].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 92 ],
"CO": [ 95 ],
"I0": [ "0" ],
"I1": [ 93 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[9].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 96 ],
"I3": [ 95 ],
"O": [ 97 ]
}
},
"$auto$alumacc.cc:474:replace_alu$50.slice[9].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 95 ],
"CO": [ 31 ],
"I0": [ "0" ],
"I1": [ 96 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[0].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "1" ],
"I2": [ 15 ],
"I3": [ "0" ],
"O": [ 98 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[10].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 99 ],
"I3": [ 100 ],
"O": [ 101 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[10].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 100 ],
"CO": [ 102 ],
"I0": [ "0" ],
"I1": [ 99 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[11].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 103 ],
"I3": [ 102 ],
"O": [ 104 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[11].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 102 ],
"CO": [ 105 ],
"I0": [ "0" ],
"I1": [ 103 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[12].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 106 ],
"I3": [ 105 ],
"O": [ 107 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[12].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 105 ],
"CO": [ 108 ],
"I0": [ "0" ],
"I1": [ 106 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[13].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 109 ],
"I3": [ 108 ],
"O": [ 110 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[13].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 108 ],
"CO": [ 111 ],
"I0": [ "0" ],
"I1": [ 109 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[14].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 112 ],
"I3": [ 111 ],
"O": [ 113 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[14].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 111 ],
"CO": [ 114 ],
"I0": [ "0" ],
"I1": [ 112 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[15].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 115 ],
"I3": [ 114 ],
"O": [ 116 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[15].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 114 ],
"CO": [ 117 ],
"I0": [ "0" ],
"I1": [ 115 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[16].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 118 ],
"I3": [ 117 ],
"O": [ 119 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[16].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 117 ],
"CO": [ 120 ],
"I0": [ "0" ],
"I1": [ 118 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[17].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 121 ],
"I3": [ 120 ],
"O": [ 122 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[17].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 120 ],
"CO": [ 123 ],
"I0": [ "0" ],
"I1": [ 121 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[18].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 124 ],
"I3": [ 123 ],
"O": [ 125 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[18].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 123 ],
"CO": [ 126 ],
"I0": [ "0" ],
"I1": [ 124 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[19].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 127 ],
"I3": [ 126 ],
"O": [ 128 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[19].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 126 ],
"CO": [ 129 ],
"I0": [ "0" ],
"I1": [ 127 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[1].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 15 ],
"CO": [ 130 ],
"I0": [ "0" ],
"I1": [ 25 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[20].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 131 ],
"I3": [ 129 ],
"O": [ 132 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[20].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 129 ],
"CO": [ 133 ],
"I0": [ "0" ],
"I1": [ 131 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[21].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 134 ],
"I3": [ 133 ],
"O": [ 135 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[21].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 133 ],
"CO": [ 136 ],
"I0": [ "0" ],
"I1": [ 134 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[22].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 137 ],
"I3": [ 136 ],
"O": [ 138 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[22].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 136 ],
"CO": [ 139 ],
"I0": [ "0" ],
"I1": [ 137 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[23].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 140 ],
"I3": [ 139 ],
"O": [ 141 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[23].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 139 ],
"CO": [ 142 ],
"I0": [ "0" ],
"I1": [ 140 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[24].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 21 ],
"I3": [ 142 ],
"O": [ 143 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[2].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 144 ],
"I3": [ 130 ],
"O": [ 145 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[2].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 130 ],
"CO": [ 146 ],
"I0": [ "0" ],
"I1": [ 144 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[3].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 147 ],
"I3": [ 146 ],
"O": [ 148 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[3].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 146 ],
"CO": [ 149 ],
"I0": [ "0" ],
"I1": [ 147 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[4].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 150 ],
"I3": [ 149 ],
"O": [ 151 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[4].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 149 ],
"CO": [ 152 ],
"I0": [ "0" ],
"I1": [ 150 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[5].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 153 ],
"I3": [ 152 ],
"O": [ 154 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[5].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 152 ],
"CO": [ 155 ],
"I0": [ "0" ],
"I1": [ 153 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[6].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 156 ],
"I3": [ 155 ],
"O": [ 157 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[6].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 155 ],
"CO": [ 158 ],
"I0": [ "0" ],
"I1": [ 156 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[7].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 159 ],
"I3": [ 158 ],
"O": [ 160 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[7].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 158 ],
"CO": [ 161 ],
"I0": [ "0" ],
"I1": [ 159 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[8].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 162 ],
"I3": [ 161 ],
"O": [ 163 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[8].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 161 ],
"CO": [ 164 ],
"I0": [ "0" ],
"I1": [ 162 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[9].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 165 ],
"I3": [ 164 ],
"O": [ 166 ]
}
},
"$auto$alumacc.cc:474:replace_alu$53.slice[9].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 164 ],
"CO": [ 100 ],
"I0": [ "0" ],
"I1": [ 165 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[0].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "1" ],
"I2": [ 17 ],
"I3": [ "0" ],
"O": [ 167 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[10].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 168 ],
"I3": [ 169 ],
"O": [ 170 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[10].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 169 ],
"CO": [ 171 ],
"I0": [ "0" ],
"I1": [ 168 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[11].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 172 ],
"I3": [ 171 ],
"O": [ 173 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[11].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 171 ],
"CO": [ 174 ],
"I0": [ "0" ],
"I1": [ 172 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[12].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 175 ],
"I3": [ 174 ],
"O": [ 176 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[12].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 174 ],
"CO": [ 177 ],
"I0": [ "0" ],
"I1": [ 175 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[13].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 178 ],
"I3": [ 177 ],
"O": [ 179 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[13].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 177 ],
"CO": [ 180 ],
"I0": [ "0" ],
"I1": [ 178 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[14].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 181 ],
"I3": [ 180 ],
"O": [ 182 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[14].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 180 ],
"CO": [ 183 ],
"I0": [ "0" ],
"I1": [ 181 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[15].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 184 ],
"I3": [ 183 ],
"O": [ 185 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[15].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 183 ],
"CO": [ 186 ],
"I0": [ "0" ],
"I1": [ 184 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[16].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 187 ],
"I3": [ 186 ],
"O": [ 188 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[16].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 186 ],
"CO": [ 189 ],
"I0": [ "0" ],
"I1": [ 187 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[17].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 190 ],
"I3": [ 189 ],
"O": [ 191 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[17].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 189 ],
"CO": [ 192 ],
"I0": [ "0" ],
"I1": [ 190 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[18].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 193 ],
"I3": [ 192 ],
"O": [ 194 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[18].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 192 ],
"CO": [ 195 ],
"I0": [ "0" ],
"I1": [ 193 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[19].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 196 ],
"I3": [ 195 ],
"O": [ 197 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[19].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 195 ],
"CO": [ 198 ],
"I0": [ "0" ],
"I1": [ 196 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[1].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 17 ],
"CO": [ 199 ],
"I0": [ "0" ],
"I1": [ 27 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[20].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 200 ],
"I3": [ 198 ],
"O": [ 201 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[20].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 198 ],
"CO": [ 202 ],
"I0": [ "0" ],
"I1": [ 200 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[21].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 203 ],
"I3": [ 202 ],
"O": [ 204 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[21].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 202 ],
"CO": [ 205 ],
"I0": [ "0" ],
"I1": [ 203 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[22].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 206 ],
"I3": [ 205 ],
"O": [ 207 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[22].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 205 ],
"CO": [ 208 ],
"I0": [ "0" ],
"I1": [ 206 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[23].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 209 ],
"I3": [ 208 ],
"O": [ 210 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[23].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 208 ],
"CO": [ 211 ],
"I0": [ "0" ],
"I1": [ 209 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[24].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 22 ],
"I3": [ 211 ],
"O": [ 212 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[2].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 213 ],
"I3": [ 199 ],
"O": [ 214 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[2].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 199 ],
"CO": [ 215 ],
"I0": [ "0" ],
"I1": [ 213 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[3].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 216 ],
"I3": [ 215 ],
"O": [ 217 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[3].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 215 ],
"CO": [ 218 ],
"I0": [ "0" ],
"I1": [ 216 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[4].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 219 ],
"I3": [ 218 ],
"O": [ 220 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[4].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 218 ],
"CO": [ 221 ],
"I0": [ "0" ],
"I1": [ 219 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[5].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 222 ],
"I3": [ 221 ],
"O": [ 223 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[5].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 221 ],
"CO": [ 224 ],
"I0": [ "0" ],
"I1": [ 222 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[6].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 225 ],
"I3": [ 224 ],
"O": [ 226 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[6].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 224 ],
"CO": [ 227 ],
"I0": [ "0" ],
"I1": [ 225 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[7].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 228 ],
"I3": [ 227 ],
"O": [ 229 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[7].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 227 ],
"CO": [ 230 ],
"I0": [ "0" ],
"I1": [ 228 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[8].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 231 ],
"I3": [ 230 ],
"O": [ 232 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[8].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 230 ],
"CO": [ 233 ],
"I0": [ "0" ],
"I1": [ 231 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[9].adder": {
"hide_name": 1,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": 27030
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 234 ],
"I3": [ 233 ],
"O": [ 235 ]
}
},
"$auto$alumacc.cc:474:replace_alu$56.slice[9].carry": {
"hide_name": 1,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 233 ],
"CO": [ 169 ],
"I0": [ "0" ],
"I1": [ 234 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$219": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 98 ],
"E": [ 11 ],
"Q": [ 15 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$220": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 26 ],
"E": [ 16 ],
"Q": [ 25 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$221": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 145 ],
"E": [ 11 ],
"Q": [ 144 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$222": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 148 ],
"E": [ 11 ],
"Q": [ 147 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$223": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 151 ],
"E": [ 11 ],
"Q": [ 150 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$224": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 154 ],
"E": [ 11 ],
"Q": [ 153 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$225": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 157 ],
"E": [ 11 ],
"Q": [ 156 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$226": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 160 ],
"E": [ 11 ],
"Q": [ 159 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$227": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 163 ],
"E": [ 11 ],
"Q": [ 162 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$228": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 166 ],
"E": [ 11 ],
"Q": [ 165 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$229": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 101 ],
"E": [ 11 ],
"Q": [ 99 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$230": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 104 ],
"E": [ 11 ],
"Q": [ 103 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$231": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 107 ],
"E": [ 11 ],
"Q": [ 106 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$232": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 110 ],
"E": [ 11 ],
"Q": [ 109 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$233": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 113 ],
"E": [ 11 ],
"Q": [ 112 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$234": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 116 ],
"E": [ 11 ],
"Q": [ 115 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$235": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 119 ],
"E": [ 11 ],
"Q": [ 118 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$236": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 122 ],
"E": [ 11 ],
"Q": [ 121 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$237": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 125 ],
"E": [ 11 ],
"Q": [ 124 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$238": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 128 ],
"E": [ 11 ],
"Q": [ 127 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$239": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 132 ],
"E": [ 11 ],
"Q": [ 131 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$240": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 135 ],
"E": [ 11 ],
"Q": [ 134 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$241": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 138 ],
"E": [ 11 ],
"Q": [ 137 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$242": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 141 ],
"E": [ 11 ],
"Q": [ 140 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$243": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 143 ],
"E": [ 11 ],
"Q": [ 21 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$244": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 167 ],
"E": [ 12 ],
"Q": [ 17 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$245": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 28 ],
"E": [ 18 ],
"Q": [ 27 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$246": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 214 ],
"E": [ 12 ],
"Q": [ 213 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$247": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 217 ],
"E": [ 12 ],
"Q": [ 216 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$248": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 220 ],
"E": [ 12 ],
"Q": [ 219 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$249": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 223 ],
"E": [ 12 ],
"Q": [ 222 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$250": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 226 ],
"E": [ 12 ],
"Q": [ 225 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$251": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 229 ],
"E": [ 12 ],
"Q": [ 228 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$252": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 232 ],
"E": [ 12 ],
"Q": [ 231 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$253": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 235 ],
"E": [ 12 ],
"Q": [ 234 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$254": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 170 ],
"E": [ 12 ],
"Q": [ 168 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$255": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 173 ],
"E": [ 12 ],
"Q": [ 172 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$256": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 176 ],
"E": [ 12 ],
"Q": [ 175 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$257": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 179 ],
"E": [ 12 ],
"Q": [ 178 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$258": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 182 ],
"E": [ 12 ],
"Q": [ 181 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$259": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 185 ],
"E": [ 12 ],
"Q": [ 184 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$260": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 188 ],
"E": [ 12 ],
"Q": [ 187 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$261": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 191 ],
"E": [ 12 ],
"Q": [ 190 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$262": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 194 ],
"E": [ 12 ],
"Q": [ 193 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$263": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 197 ],
"E": [ 12 ],
"Q": [ 196 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$264": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 201 ],
"E": [ 12 ],
"Q": [ 200 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$265": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 204 ],
"E": [ 12 ],
"Q": [ 203 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$266": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 207 ],
"E": [ 12 ],
"Q": [ 206 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$267": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 210 ],
"E": [ 12 ],
"Q": [ 209 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$268": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 212 ],
"E": [ 12 ],
"Q": [ 22 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$269": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 10 ],
"E": [ 11 ],
"Q": [ 19 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$270": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:44|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 9 ],
"D": [ 20 ],
"E": [ 11 ],
"Q": [ 7 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$271": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 29 ],
"E": [ 11 ],
"Q": [ 13 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$272": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 24 ],
"E": [ 14 ],
"Q": [ 23 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$273": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 76 ],
"E": [ 11 ],
"Q": [ 75 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$274": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 79 ],
"E": [ 11 ],
"Q": [ 78 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$275": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 82 ],
"E": [ 11 ],
"Q": [ 81 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$276": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 85 ],
"E": [ 11 ],
"Q": [ 84 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$277": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 88 ],
"E": [ 11 ],
"Q": [ 87 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$278": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 91 ],
"E": [ 11 ],
"Q": [ 90 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$279": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 94 ],
"E": [ 11 ],
"Q": [ 93 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$280": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 97 ],
"E": [ 11 ],
"Q": [ 96 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$281": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 32 ],
"E": [ 11 ],
"Q": [ 30 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$282": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 35 ],
"E": [ 11 ],
"Q": [ 34 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$283": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 38 ],
"E": [ 11 ],
"Q": [ 37 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$284": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 41 ],
"E": [ 11 ],
"Q": [ 40 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$285": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 44 ],
"E": [ 11 ],
"Q": [ 43 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$286": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 47 ],
"E": [ 11 ],
"Q": [ 46 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$287": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 50 ],
"E": [ 11 ],
"Q": [ 49 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$288": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 53 ],
"E": [ 11 ],
"Q": [ 52 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$289": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 56 ],
"E": [ 11 ],
"Q": [ 55 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$290": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 59 ],
"E": [ 11 ],
"Q": [ 58 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$291": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 63 ],
"E": [ 11 ],
"Q": [ 62 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$292": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 66 ],
"E": [ 11 ],
"Q": [ 65 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$293": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 69 ],
"E": [ 11 ],
"Q": [ 68 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$294": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 72 ],
"E": [ 11 ],
"Q": [ 71 ]
}
},
"$auto$simplemap.cc:420:simplemap_dff$295": {
"hide_name": 1,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 10 ],
"D": [ 74 ],
"E": [ 11 ],
"Q": [ 8 ]
}
},
"pll": {
"hide_name": 0,
"type": "SB_PLL40_2F_PAD",
"parameters": {
"DIVF": 79,
"DIVQ": 4,
"DIVR": 0,
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": 1,
"PLLOUT_SELECT_PORTB": "GENCLK_HALF"
},
"attributes": {
"module_not_derived": 1,
"src": "top.v:21"
},
"port_directions": {
"BYPASS": "input",
"LATCHINPUTVALUE": "input",
"LOCK": "output",
"PACKAGEPIN": "input",
"PLLOUTGLOBALA": "output",
"PLLOUTGLOBALB": "output",
"RESETB": "input"
},
"connections": {
"BYPASS": [ "0" ],
"LATCHINPUTVALUE": [ "0" ],
"LOCK": [ 11 ],
"PACKAGEPIN": [ 2 ],
"PLLOUTGLOBALA": [ 9 ],
"PLLOUTGLOBALB": [ 10 ],
"RESETB": [ "1" ]
}
}
},
"netnames": {
"$0\\counter30[24:0]": {
"hide_name": 1,
"bits": [ 29, 24, 76, 79, 82, 85, 88, 91, 94, 97, 32, 35, 38, 41, 44, 47, 50, 53, 56, 59, 63, 66, 69, 72, 74 ],
"attributes": {
"src": "top.v:40"
}
},
"$0\\counter30p[24:0]": {
"hide_name": 1,
"bits": [ 167, 28, 214, 217, 220, 223, 226, 229, 232, 235, 170, 173, 176, 179, 182, 185, 188, 191, 194, 197, 201, 204, 207, 210, 212 ],
"attributes": {
"src": "top.v:44"
}
},
"$0\\counter60[24:0]": {
"hide_name": 1,
"bits": [ 98, 26, 145, 148, 151, 154, 157, 160, 163, 166, 101, 104, 107, 110, 113, 116, 119, 122, 125, 128, 132, 135, 138, 141, 143 ],
"attributes": {
"src": "top.v:44"
}
},
"$0\\err[0:0]": {
"hide_name": 1,
"bits": [ 20 ],
"attributes": {
"src": "top.v:44"
}
},
"$abc$1495$n10": {
"hide_name": 1,
"bits": [ 16 ],
"attributes": {
}
},
"$abc$1495$n16": {
"hide_name": 1,
"bits": [ 18 ],
"attributes": {
}
},
"$abc$1495$n4": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$abc$1495$n7": {
"hide_name": 1,
"bits": [ 14 ],
"attributes": {
}
},
"$auto$alumacc.cc:474:replace_alu$50.C": {
"hide_name": 1,
"bits": [ 236, 237, 61, 77, 80, 83, 86, 89, 92, 95, 31, 33, 36, 39, 42, 45, 48, 51, 54, 57, 60, 64, 67, 70, 73 ],
"attributes": {
"src": "top.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
}
},
"$auto$alumacc.cc:474:replace_alu$53.C": {
"hide_name": 1,
"bits": [ 238, 239, 130, 146, 149, 152, 155, 158, 161, 164, 100, 102, 105, 108, 111, 114, 117, 120, 123, 126, 129, 133, 136, 139, 142 ],
"attributes": {
"src": "top.v:46|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
}
},
"$auto$alumacc.cc:474:replace_alu$56.C": {
"hide_name": 1,
"bits": [ 240, 241, 199, 215, 218, 221, 224, 227, 230, 233, 169, 171, 174, 177, 180, 183, 186, 189, 192, 195, 198, 202, 205, 208, 211 ],
"attributes": {
"src": "top.v:48|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
}
},
"BTN_N": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "top.v:7"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "top.v:6"
}
},
"LED1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "top.v:8"
}
},
"LED2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "top.v:9"
}
},
"LED3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "top.v:10"
}
},
"LED4": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "top.v:11"
}
},
"LED5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "top.v:12"
}
},
"P1A1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "top.v:13"
}
},
"P1A2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "top.v:14"
}
},
"P1A3": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "top.v:15"
}
},
"P1A4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "top.v:16"
}
},
"counter30": {
"hide_name": 0,
"bits": [ 13, 23, 75, 78, 81, 84, 87, 90, 93, 96, 30, 34, 37, 40, 43, 46, 49, 52, 55, 58, 62, 65, 68, 71, 8 ],
"attributes": {
"src": "top.v:37"
}
},
"counter30p": {
"hide_name": 0,
"bits": [ 17, 27, 213, 216, 219, 222, 225, 228, 231, 234, 168, 172, 175, 178, 181, 184, 187, 190, 193, 196, 200, 203, 206, 209, 22 ],
"attributes": {
"src": "top.v:37"
}
},
"counter60": {
"hide_name": 0,
"bits": [ 15, 25, 144, 147, 150, 153, 156, 159, 162, 165, 99, 103, 106, 109, 112, 115, 118, 121, 124, 127, 131, 134, 137, 140, 21 ],
"attributes": {
"src": "top.v:37"
}
},
"err": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "top.v:38"
}
},
"pll_clk30": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "top.v:19"
}
},
"pll_clk60": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "top.v:19"
}
},
"pll_locked": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "top.v:19"
}
},
"prev": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "top.v:38"
}
}
}
}
}
}
`default_nettype none
`define W 24
module top (
input CLK,
input BTN_N,
output LED1,
output LED2,
output LED3,
output LED4,
output LED5,
output P1A1,
output P1A2,
output P1A3,
output P1A4
);
wire pll_clk60, pll_clk30, pll_locked;
SB_PLL40_2F_PAD #(
.FEEDBACK_PATH("SIMPLE"),
.PLLOUT_SELECT_PORTB("GENCLK_HALF"),
.DIVR(4'b0000), // DIVR = 0
.DIVF(7'b1001111), // DIVF = 79
.DIVQ(3'b100), // DIVQ = 4
.FILTER_RANGE(3'b001) // FILTER_RANGE = 1
) pll (
.PACKAGEPIN(CLK),
.PLLOUTGLOBALA(pll_clk60),
.PLLOUTGLOBALB(pll_clk30),
.LOCK(pll_locked),
.RESETB(1'b1),
.BYPASS(1'b0),
.LATCHINPUTVALUE(1'b0));
reg [`W:0] counter60, counter30p, counter30n, counter30;
reg prev, err;
always @(posedge pll_clk30)
if (pll_locked)
counter30 <= counter30 + 1;
always @(posedge pll_clk60)
if (pll_locked) begin
counter60 <= counter60 + 1;
if (pll_clk30) begin
counter30p <= counter30p + 1;
err <= prev;
prev <= 1;
end
else begin
counter30n <= counter30n + 1;
err <= ~prev;
prev <= 0;
end
end
assign LED1 = counter30[`W] & BTN_N;
assign LED2 = counter60[`W] & BTN_N;
assign LED3 = counter30p[`W] & BTN_N;
assign LED4 = counter30p[`W] & BTN_N;
assign LED5 = err;
assign P1A1 = counter30[`W];
assign P1A2 = pll_clk60;
assign P1A3 = pll_clk30;
assign P1A4 = err;
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment