Skip to content

Instantly share code, notes, and snippets.

@kd8bxp
Created September 4, 2021 01:58
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save kd8bxp/eca9addb88bfb994cc844bb6067ba6d5 to your computer and use it in GitHub Desktop.
Save kd8bxp/eca9addb88bfb994cc844bb6067ba6d5 to your computer and use it in GitHub Desktop.
IO_LOC "input_a" 14;
IO_LOC "input_b" 15;
IO_LOC "and_result" 16;
module example_and_gate (
input_a,
input_b,
and_result);
input input_a;
input input_b;
output and_result;
wire and_temp;
assign and_temp = input_a | input_b;
assign and_result = and_temp;
endmodule
//And gate example from https://www.nandland.com/verilog/tutorials/tutorial-introduction-to-verilog-for-beginners.html
//it appears that the tango nano and this editor want the | for AND the & appears to be an or statement (?)
//LeRoy Miller Learning stuff Sep 2, 2021
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment