Skip to content

Instantly share code, notes, and snippets.

@knielsen
Created June 8, 2017 17:15
Show Gist options
  • Save knielsen/eb839a9d89da0bce384c1c05c19d0aa9 to your computer and use it in GitHub Desktop.
Save knielsen/eb839a9d89da0bce384c1c05c19d0aa9 to your computer and use it in GitHub Desktop.
icetime topological timing analysis report
==========================================
Warning: This timing analysis report is an estimate!
Info: max_span_hack is enabled: estimate is conservative.
Report for critical path:
-------------------------
lc40_31_26_1 (LogicCell40) [clk] -> lcout: 0.896 ns
0.896 ns net_124146 (my_bus_slave.sAn_w[4])
t1533 (LocalMux) I -> O: 0.486 ns
inmux_31_26_128272_128296 (InMux) I -> O: 0.382 ns
lc40_31_26_0 (LogicCell40) in0 -> lcout: 0.662 ns
2.426 ns net_124145 ($abc$4988$n428)
odrv_31_26_124145_126880 (Odrv12) I -> O: 0.796 ns
t1537 (LocalMux) I -> O: 0.486 ns
inmux_31_22_127787_127818 (InMux) I -> O: 0.382 ns
t161 (CascadeMux) I -> O: 0.000 ns
lc40_31_22_2 (LogicCell40) in2 -> lcout: 0.558 ns
4.648 ns net_123655 ($abc$4988$n440)
odrv_31_22_123655_103609 (Odrv12) I -> O: 0.796 ns
t1483 (Span12Mux_h12) I -> O: 0.796 ns
t1482 (Sp12to4) I -> O: 0.662 ns
t1481 (Span4Mux_v4) I -> O: 0.548 ns
t1480 (LocalMux) I -> O: 0.486 ns
inmux_19_23_79674_79714 (InMux) I -> O: 0.382 ns
t100 (CascadeMux) I -> O: 0.000 ns
lc40_19_23_6 (LogicCell40) in2 -> lcout: 0.558 ns
8.876 ns net_75531 ($abc$4988$n390)
odrv_19_23_75531_79504 (Odrv4) I -> O: 0.548 ns
t815 (Span4Mux_v4) I -> O: 0.548 ns
t829 (Span4Mux_v4) I -> O: 0.548 ns
t828 (Span4Mux_h4) I -> O: 0.465 ns
t834 (Span4Mux_h4) I -> O: 0.465 ns
t833 (Span4Mux_v4) I -> O: 0.548 ns
t832 (Span4Mux_v4) I -> O: 0.548 ns
t831 (Span4Mux_h4) I -> O: 0.465 ns
t830 (LocalMux) I -> O: 0.486 ns
inmux_26_20_107158_107219 (CEMux) I -> O: 0.889 ns
14.386 ns net_107219 ($abc$4988$n390)
lc40_26_20_7 (LogicCell40) ce [setup]: 0.000 ns
14.386 ns net_103272 (cur_value[1])
Resolvable net names on path:
0.896 ns .. 1.764 ns my_bus_slave.sAn_w[4]
2.426 ns .. 4.090 ns $abc$4988$n428
4.648 ns .. 8.318 ns $abc$4988$n440
8.876 ns .. 14.386 ns $abc$4988$n390
lcout -> cur_value[1]
Total number of logic levels: 4
Total path delay: 14.39 ns (69.51 MHz)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment