Skip to content

Instantly share code, notes, and snippets.

@knknkn1162
Created December 26, 2018 12:39
Show Gist options
  • Save knknkn1162/5b07edd66e55d384f512c93c31a9deb4 to your computer and use it in GitHub Desktop.
Save knknkn1162/5b07edd66e55d384f512c93c31a9deb4 to your computer and use it in GitHub Desktop.
Info: *******************************************************************
Info: Running Quartus Prime Analysis & Synthesis
Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
Info: Processing started: Wed Dec 26 20:46:21 2018
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off imem -c imem
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
Info (12021): Found 2 design units, including 0 entities, in source file src/cpu/type_pkg.vhdl
Info (12022): Found design unit 1: type_pkg
Info (12022): Found design unit 2: type_pkg-body
Info (12021): Found 2 design units, including 0 entities, in source file src/cpu/tools_pkg.vhdl
Info (12022): Found design unit 1: tools_pkg
Info (12022): Found design unit 2: tools_pkg-body
Info (12021): Found 2 design units, including 1 entities, in source file src/cpu/imem_test.vhdl
Info (12022): Found design unit 1: imem_test-behavior
Info (12023): Found entity 1: imem_test
Info (12021): Found 2 design units, including 1 entities, in source file src/cpu/imem.vhdl
Info (12022): Found design unit 1: imem-behavior
Info (12023): Found entity 1: imem
Info (12021): Found 2 design units, including 1 entities, in source file src/display/disp_en.vhdl
Info (12022): Found design unit 1: disp_en-behavior
Info (12023): Found entity 1: disp_en
Info (12021): Found 2 design units, including 1 entities, in source file src/display/hex_decoder.vhdl
Info (12022): Found design unit 1: hex_decoder-behavior
Info (12023): Found entity 1: hex_decoder
Info (12021): Found 2 design units, including 1 entities, in source file src/display/enable_generator.vhdl
Info (12022): Found design unit 1: enable_generator-behavior
Info (12023): Found entity 1: enable_generator
Info (12021): Found 2 design units, including 1 entities, in source file src/display/disp.vhdl
Info (12022): Found design unit 1: disp-behavior
Info (12023): Found entity 1: disp
Info (12021): Found 2 design units, including 1 entities, in source file src/general/mux4.vhdl
Info (12022): Found design unit 1: mux4-behavior
Info (12023): Found entity 1: mux4
Info (12021): Found 2 design units, including 1 entities, in source file src/general/mux2.vhdl
Info (12022): Found design unit 1: mux2-behavior
Info (12023): Found entity 1: mux2
Info (12021): Found 2 design units, including 1 entities, in source file src/general/flopr_en.vhdl
Info (12022): Found design unit 1: flopr_en-behavior
Info (12023): Found entity 1: flopr_en
Info (12021): Found 2 design units, including 1 entities, in source file src/general/flopr.vhdl
Info (12022): Found design unit 1: flopr-behavior
Info (12023): Found entity 1: flopr
Info (12127): Elaborating entity "imem_test" for the top level hierarchy
Info (12128): Elaborating entity "flopr_en" for hierarchy "flopr_en:flopr_pc"
Info (12128): Elaborating entity "imem" for hierarchy "imem:imem0"
Info (12128): Elaborating entity "disp_en" for hierarchy "disp_en:disp_en0"
Info (12128): Elaborating entity "enable_generator" for hierarchy "disp_en:disp_en0|enable_generator:enable_generator0"
Info (12128): Elaborating entity "flopr" for hierarchy "disp_en:disp_en0|enable_generator:enable_generator0|flopr:flopr0"
Info (12128): Elaborating entity "disp" for hierarchy "disp_en:disp_en0|disp:disp0"
Info (12128): Elaborating entity "hex_decoder" for hierarchy "disp_en:disp_en0|disp:disp0|hex_decoder:\gen_hex_decoder:0:hex_decoder0"
Info (19000): Inferred 1 megafunctions from design logic
Info (276029): Inferred altsyncram megafunction from the following design logic: "imem:imem0|s_ram_rtl_0"
Info (286033): Parameter OPERATION_MODE set to ROM
Info (286033): Parameter WIDTH_A set to 32
Info (286033): Parameter WIDTHAD_A set to 9
Info (286033): Parameter NUMWORDS_A set to 512
Info (286033): Parameter OUTDATA_REG_A set to UNREGISTERED
Info (286033): Parameter ADDRESS_ACLR_A set to NONE
Info (286033): Parameter OUTDATA_ACLR_A set to NONE
Info (286033): Parameter INDATA_ACLR_A set to NONE
Info (286033): Parameter WRCONTROL_ACLR_A set to NONE
Info (286033): Parameter INIT_FILE set to db/imem.ram0_imem_fec65733.hdl.mif
Info (12130): Elaborated megafunction instantiation "imem:imem0|altsyncram:s_ram_rtl_0"
Info (12133): Instantiated megafunction "imem:imem0|altsyncram:s_ram_rtl_0" with the following parameter:
Info (12134): Parameter "OPERATION_MODE" = "ROM"
Info (12134): Parameter "WIDTH_A" = "32"
Info (12134): Parameter "WIDTHAD_A" = "9"
Info (12134): Parameter "NUMWORDS_A" = "512"
Info (12134): Parameter "OUTDATA_REG_A" = "UNREGISTERED"
Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE"
Info (12134): Parameter "OUTDATA_ACLR_A" = "NONE"
Info (12134): Parameter "INDATA_ACLR_A" = "NONE"
Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE"
Info (12134): Parameter "INIT_FILE" = "db/imem.ram0_imem_fec65733.hdl.mif"
Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_5ic1.tdf
Info (12023): Found entity 1: altsyncram_5ic1
Warning (14284): Synthesized away the following node(s):
Warning (14285): Synthesized away the following RAM node(s):
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a24"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a25"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a26"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a27"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a28"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a29"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a30"
Warning (14320): Synthesized away node "imem:imem0|altsyncram:s_ram_rtl_0|altsyncram_5ic1:auto_generated|ram_block1a31"
Info (286030): Timing-Driven Synthesis is running
Info (17049): 21 registers lost all their fanouts during netlist optimizations.
Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
Info (21057): Implemented 152 device resources after synthesis - the final resource count might be different
Info (21058): Implemented 2 input pins
Info (21059): Implemented 42 output pins
Info (21061): Implemented 84 logic cells
Info (21064): Implemented 24 RAM segments
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 11 warnings
Info: Peak virtual memory: 1169 megabytes
Info: Processing ended: Wed Dec 26 20:47:07 2018
Info: Elapsed time: 00:00:46
Info: Total CPU time (on all processors): 00:00:55
Info: *******************************************************************
Info: Running Quartus Prime Fitter
Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
Info: Processing started: Wed Dec 26 20:48:23 2018
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off imem -c imem
Info: qfit2_default_script.tcl version: #1
Info: Project = imem
Info: Revision = imem
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
Info (119006): Selected device 5CEBA4F23C7 for design "imem"
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
Info (184020): Starting Fitter periphery placement operations
Info (11191): Automatically promoted 1 clock (1 global)
Info (11162): clk~inputCLKENA0 with 59 fanout uses global clock CLKCTRL_G6
Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:00
Info (176233): Starting register packing
Info (176235): Finished register packing
Extra Info (176219): No registers were packed into other blocks
Info (11798): Fitter preparation operations ending: elapsed time is 00:00:07
Info (332104): Reading SDC File: 'imem.sdc'
Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
Info (332111): Found 1 clocks
Info (332111): Period Clock Name
Info (332111): ======== ============
Info (332111): 20.000 clk
Info (170189): Fitter placement preparation operations beginning
Info (14951): The Fitter is using Advanced Physical Optimization.
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:04
Info (170191): Fitter placement operations beginning
Info (170137): Fitter placement was successful
Info (170192): Fitter placement operations ending: elapsed time is 00:00:00
Info (170193): Fitter routing operations beginning
Info (170195): Router estimated average interconnect usage is 0% of the available device resources
Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X33_Y0 to location X43_Y10
Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time.
Info (170201): Optimizations that may affect the design's routability were skipped
Info (170200): Optimizations that may affect the design's timing were skipped
Info (170194): Fitter routing operations ending: elapsed time is 00:00:01
Info (11888): Total time spent on timing analysis during the Fitter is 0.91 seconds.
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:04
Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings
Info: Peak virtual memory: 1997 megabytes
Info: Processing ended: Wed Dec 26 20:48:59 2018
Info: Elapsed time: 00:00:36
Info: Total CPU time (on all processors): 00:00:41
Info: *******************************************************************
Info: Running Quartus Prime Assembler
Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
Info: Processing started: Wed Dec 26 20:49:01 2018
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off imem -c imem
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (115030): Assembler is generating device programming files
Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
Info: Peak virtual memory: 1058 megabytes
Info: Processing ended: Wed Dec 26 20:49:06 2018
Info: Elapsed time: 00:00:05
Info: Total CPU time (on all processors): 00:00:04
Info (293026): Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER
Info: *******************************************************************
Info: Running Quartus Prime Timing Analyzer
Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
Info: Processing started: Wed Dec 26 20:49:07 2018
Info: Command: quartus_sta imem -c imem
Info: qsta_default_script.tcl version: #1
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (332104): Reading SDC File: 'imem.sdc'
Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Info: Analyzing Slow 1100mV 85C Model
Info (332146): Worst-case setup slack is 5.668
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 5.668 0.000 clk
Info (332146): Worst-case hold slack is 0.448
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 0.448 0.000 clk
Info (332146): Worst-case recovery slack is 13.826
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 13.826 0.000 clk
Info (332146): Worst-case removal slack is 4.650
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 4.650 0.000 clk
Info (332146): Worst-case minimum pulse width slack is 8.699
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 8.699 0.000 clk
Info: Analyzing Slow 1100mV 0C Model
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
Info (332146): Worst-case setup slack is 5.727
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 5.727 0.000 clk
Info (332146): Worst-case hold slack is 0.455
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 0.455 0.000 clk
Info (332146): Worst-case recovery slack is 14.384
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 14.384 0.000 clk
Info (332146): Worst-case removal slack is 4.073
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 4.073 0.000 clk
Info (332146): Worst-case minimum pulse width slack is 8.615
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 8.615 0.000 clk
Info: Analyzing Fast 1100mV 85C Model
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
Info (332146): Worst-case setup slack is 11.371
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 11.371 0.000 clk
Info (332146): Worst-case hold slack is 0.186
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 0.186 0.000 clk
Info (332146): Worst-case recovery slack is 16.414
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 16.414 0.000 clk
Info (332146): Worst-case removal slack is 2.397
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 2.397 0.000 clk
Info (332146): Worst-case minimum pulse width slack is 8.830
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 8.830 0.000 clk
Info: Analyzing Fast 1100mV 0C Model
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
Info (332146): Worst-case setup slack is 11.877
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 11.877 0.000 clk
Info (332146): Worst-case hold slack is 0.175
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 0.175 0.000 clk
Info (332146): Worst-case recovery slack is 16.471
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 16.471 0.000 clk
Info (332146): Worst-case removal slack is 2.366
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 2.366 0.000 clk
Info (332146): Worst-case minimum pulse width slack is 8.818
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 8.818 0.000 clk
Info (332101): Design is fully constrained for setup requirements
Info (332101): Design is fully constrained for hold requirements
Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning
Info: Peak virtual memory: 1227 megabytes
Info: Processing ended: Wed Dec 26 20:49:15 2018
Info: Elapsed time: 00:00:08
Info: Total CPU time (on all processors): 00:00:07
Info: *******************************************************************
Info: Running Quartus Prime EDA Netlist Writer
Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
Info: Processing started: Wed Dec 26 20:49:17 2018
Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off imem -c imem
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Warning (10905): Generated the EDA functional simulation netlist because it is the only supported netlist type for this device.
Info (204019): Generated file imem.vho in folder "/home/knknkn1162/Documents/share/sample/imem/simulation/modelsim/" for EDA simulation tool
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 2 warnings
Info: Peak virtual memory: 1234 megabytes
Info: Processing ended: Wed Dec 26 20:49:19 2018
Info: Elapsed time: 00:00:02
Info: Total CPU time (on all processors): 00:00:02
Info (293000): Quartus Prime Full Compilation was successful. 0 errors, 18 warnings
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment