Skip to content

Instantly share code, notes, and snippets.

@lachlansneff
Created November 3, 2020 03:37
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save lachlansneff/c83e38d8bfcca76ec0ebe7f07f8469c0 to your computer and use it in GitHub Desktop.
Save lachlansneff/c83e38d8bfcca76ec0ebe7f07f8469c0 to your computer and use it in GitHub Desktop.
❯ python3 -m nmigen_boards.tinyfpga_bx
TinyProg CLI
------------
Using device id 1d50:6130
Only one board with active bootloader, using it.
Programming USB 20.2 with /var/folders/24/mfj_dsx13ln7jxrnrbb2vq0h0000gn/T/nmigen_mk8vv5cf_top.bin
Programming at addr 028000
Waking up SPI flash
135100 bytes to program
Erasing: 100%|████████████████████████████| 135k/135k [00:00<00:00, 146kB/s]
Writing: 100%|████████████████████████████| 135k/135k [00:00<00:00, 198kB/s]
Reading: 100%|████████████████████████████| 135k/135k [00:00<00:00, 427kB/s]
Success!
Traceback (most recent call last):
File "/usr/local/bin/tinyprog", line 8, in <module>
sys.exit(main())
File "/usr/local/lib/python3.9/site-packages/tinyprog/__main__.py", line 374, in main
fpga.boot()
File "/usr/local/lib/python3.9/site-packages/tinyprog/__init__.py", line 388, in boot
self.ser.write(b"\x00")
File "/usr/local/lib/python3.9/site-packages/tinyprog/__init__.py", line 92, in write
self.OUT.write(data)
File "/usr/local/lib/python3.9/site-packages/usb/core.py", line 406, in write
return self.device.write(self, data, timeout)
File "/usr/local/lib/python3.9/site-packages/usb/core.py", line 977, in write
return fn(
File "/usr/local/lib/python3.9/site-packages/usb/backend/libusb1.py", line 837, in bulk_write
return self.__write(self.lib.libusb_bulk_transfer,
File "/usr/local/lib/python3.9/site-packages/usb/backend/libusb1.py", line 938, in __write
_check(retval)
File "/usr/local/lib/python3.9/site-packages/usb/backend/libusb1.py", line 604, in _check
raise USBError(_strerror(ret), ret, _libusb_errno[ret])
usb.core.USBError: [Errno 5] Input/Output Error
Traceback (most recent call last):
File "/usr/local/Cellar/python@3.9/3.9.0_1/Frameworks/Python.framework/Versions/3.9/lib/python3.9/runpy.py", line 197, in _run_module_as_main
return _run_code(code, main_globals, None,
File "/usr/local/Cellar/python@3.9/3.9.0_1/Frameworks/Python.framework/Versions/3.9/lib/python3.9/runpy.py", line 87, in _run_code
exec(code, run_globals)
File "/usr/local/lib/python3.9/site-packages/nmigen_boards/tinyfpga_bx.py", line 56, in <module>
TinyFPGABXPlatform().build(Blinky(), do_program=True)
File "/Users/lachlansneff/Library/Python/3.9/lib/python/site-packages/nmigen/build/plat.py", line 98, in build
self.toolchain_program(products, name, **(program_opts or {}))
File "/usr/local/lib/python3.9/site-packages/nmigen_boards/tinyfpga_bx.py", line 51, in toolchain_program
subprocess.check_call([tinyprog, "-p", bitstream_filename])
File "/usr/local/Cellar/python@3.9/3.9.0_1/Frameworks/Python.framework/Versions/3.9/lib/python3.9/subprocess.py", line 373, in check_call
raise CalledProcessError(retcode, cmd)
subprocess.CalledProcessError: Command '['tinyprog', '-p', '/var/folders/24/mfj_dsx13ln7jxrnrbb2vq0h0000gn/T/nmigen_mk8vv5cf_top.bin']' returned non-zero exit status 1.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment