Skip to content

Instantly share code, notes, and snippets.

@lefuturiste
Created September 23, 2021 21:07
Show Gist options
  • Save lefuturiste/905b91719b7e303e41f8b9d96878ce53 to your computer and use it in GitHub Desktop.
Save lefuturiste/905b91719b7e303e41f8b9d96878ce53 to your computer and use it in GitHub Desktop.
<?xml version="1.0" encoding="utf-8"?>
<circuit>
<version>1</version>
<attributes/>
<visualElements>
<visualElement>
<elementName>ROM</elementName>
<elementAttributes>
<entry>
<string>AddrBits</string>
<int>8</int>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
<entry>
<string>lastDataFile</string>
<file>/home/mbess/workspace/digital_logic_gates/8bit/compiler/seg_display_2.hex</file>
</entry>
<entry>
<string>autoReload</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="640" y="-1340"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>mirror</string>
<boolean>true</boolean>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="720" y="-1380"/>
</visualElement>
<visualElement>
<elementName>ROM</elementName>
<elementAttributes>
<entry>
<string>AddrBits</string>
<int>8</int>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
<entry>
<string>lastDataFile</string>
<file>/home/mbess/workspace/digital_logic_gates/8bit/compiler/seg_display_1.hex</file>
</entry>
<entry>
<string>autoReload</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="800" y="-1340"/>
</visualElement>
<visualElement>
<elementName>ROM</elementName>
<elementAttributes>
<entry>
<string>AddrBits</string>
<int>8</int>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
<entry>
<string>lastDataFile</string>
<file>/home/mbess/workspace/digital_logic_gates/8bit/compiler/seg_display_0.hex</file>
</entry>
<entry>
<string>autoReload</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="960" y="-1340"/>
</visualElement>
<visualElement>
<elementName>Seven-Seg</elementName>
<elementAttributes/>
<pos x="680" y="-1660"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="740" y="-1460"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>mirror</string>
<boolean>true</boolean>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="880" y="-1380"/>
</visualElement>
<visualElement>
<elementName>Seven-Seg</elementName>
<elementAttributes/>
<pos x="840" y="-1660"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="900" y="-1460"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>mirror</string>
<boolean>true</boolean>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="1040" y="-1380"/>
</visualElement>
<visualElement>
<elementName>Seven-Seg</elementName>
<elementAttributes/>
<pos x="1000" y="-1660"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="1060" y="-1460"/>
</visualElement>
<visualElement>
<elementName>RAMSinglePort</elementName>
<elementAttributes>
<entry>
<string>AddrBits</string>
<int>8</int>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-40" y="-1360"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="80" y="-1320"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-60" y="-1300"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>MSTORE</string>
</entry>
</elementAttributes>
<pos x="-180" y="-1340"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>MREAD</string>
</entry>
</elementAttributes>
<pos x="-120" y="-1240"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>MSTORE</string>
</entry>
</elementAttributes>
<pos x="-180" y="-1540"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>MREAD</string>
</entry>
</elementAttributes>
<pos x="-180" y="-1500"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PCRESET</string>
</entry>
</elementAttributes>
<pos x="-1260" y="-1680"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-180" y="-1420"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-20" y="-1520"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="-240" y="-2100"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>REGA</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="500" y="-2020"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes>
<entry>
<string>valueIsProbe</string>
<boolean>true</boolean>
</entry>
<entry>
<string>Label</string>
<string>IR</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-320" y="-1800"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="460" y="-2040"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AREAD</string>
</entry>
</elementAttributes>
<pos x="440" y="-2120"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ASTORE</string>
</entry>
</elementAttributes>
<pos x="460" y="-1960"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="460" y="-2000"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="580" y="-2060"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>REGB</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="800" y="-2020"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="760" y="-2040"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>BREAD</string>
</entry>
</elementAttributes>
<pos x="740" y="-2120"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>BSTORE</string>
</entry>
</elementAttributes>
<pos x="760" y="-1960"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="760" y="-2000"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="880" y="-2060"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-360" y="-1780"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>IRSTORE</string>
</entry>
</elementAttributes>
<pos x="-360" y="-1740"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AREAD</string>
</entry>
</elementAttributes>
<pos x="520" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ASTORE</string>
</entry>
</elementAttributes>
<pos x="520" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>BREAD</string>
</entry>
</elementAttributes>
<pos x="820" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>BSTORE</string>
</entry>
</elementAttributes>
<pos x="820" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>IRSTORE</string>
</entry>
</elementAttributes>
<pos x="-540" y="-1540"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ARSTORE</string>
</entry>
</elementAttributes>
<pos x="-540" y="-1500"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>IR</string>
</entry>
</elementAttributes>
<pos x="-240" y="-1780"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes>
<entry>
<string>valueIsProbe</string>
<boolean>true</boolean>
</entry>
<entry>
<string>Label</string>
<string>AR</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-700" y="-1800"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ARSTORE</string>
</entry>
</elementAttributes>
<pos x="-740" y="-1740"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-740" y="-1780"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>NetName</string>
<string>IC</string>
</entry>
</elementAttributes>
<pos x="-2380" y="-3460"/>
</visualElement>
<visualElement>
<elementName>Counter</elementName>
<elementAttributes>
<entry>
<string>valueIsProbe</string>
<boolean>true</boolean>
</entry>
<entry>
<string>Label</string>
<string>IC</string>
</entry>
<entry>
<string>Bits</string>
<int>2</int>
</entry>
</elementAttributes>
<pos x="-560" y="-1420"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>IC</string>
</entry>
</elementAttributes>
<pos x="-460" y="-1420"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-600" y="-1400"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-600" y="-1440"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PCINC</string>
</entry>
</elementAttributes>
<pos x="-1080" y="-1680"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>NetName</string>
<string>REGA</string>
</entry>
</elementAttributes>
<pos x="580" y="-1960"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>NetName</string>
<string>REGB</string>
</entry>
</elementAttributes>
<pos x="880" y="-1960"/>
</visualElement>
<visualElement>
<elementName>Add</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>8 bit adder</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-740" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>REGB</string>
</entry>
</elementAttributes>
<pos x="-780" y="-2060"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>REGA</string>
</entry>
</elementAttributes>
<pos x="-780" y="-2100"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="-780" y="-2020"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>splitterSpreading</string>
<int>2</int>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>2</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1</string>
</entry>
</elementAttributes>
<pos x="-2380" y="-3400"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-2400" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-2360" y="-3340"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="-2280" y="-3280"/>
</visualElement>
<visualElement>
<elementName>CounterPreset</elementName>
<elementAttributes>
<entry>
<string>valueIsProbe</string>
<boolean>true</boolean>
</entry>
<entry>
<string>maxValue</string>
<int>256</int>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
<entry>
<string>isProgramCounter</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="-1140" y="-1420"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>PC</string>
</entry>
</elementAttributes>
<pos x="-1040" y="-1420"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PCINC</string>
</entry>
</elementAttributes>
<pos x="-1320" y="-1480"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PCSET</string>
</entry>
</elementAttributes>
<pos x="-1260" y="-1340"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="-1200" y="-1360"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-620" y="-1780"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="-1220" y="-1380"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-1200" y="-1400"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>IRSTORE</string>
</entry>
</elementAttributes>
<pos x="-2120" y="-3280"/>
</visualElement>
<visualElement>
<elementName>ROM</elementName>
<elementAttributes>
<entry>
<string>AddrBits</string>
<int>8</int>
</entry>
<entry>
<string>isProgramMemory</string>
<boolean>true</boolean>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
<entry>
<string>lastDataFile</string>
<file>/home/mbess/workspace/digital_logic_gates/8bit/compiler/code.hex</file>
</entry>
<entry>
<string>autoReload</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="20" y="-1780"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>ROM</string>
</entry>
</elementAttributes>
<pos x="120" y="-1880"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ROMREAD</string>
</entry>
</elementAttributes>
<pos x="0" y="-1880"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PC</string>
</entry>
</elementAttributes>
<pos x="-20" y="-1780"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ROMREAD</string>
</entry>
</elementAttributes>
<pos x="-2120" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>PCINC</string>
</entry>
</elementAttributes>
<pos x="-2120" y="-3200"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="-2280" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PCSET</string>
</entry>
</elementAttributes>
<pos x="-1080" y="-1640"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="0" y="-1740"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2160" y="-3280"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2160" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2160" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2180" y="-3280"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2180" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2180" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ROMREAD</string>
</entry>
</elementAttributes>
<pos x="-2120" y="-2820"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2160" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2160" y="-2820"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2180" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2180" y="-2820"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ARSTORE</string>
</entry>
</elementAttributes>
<pos x="-2120" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="540" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="540" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="840" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="840" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-520" y="-1540"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-520" y="-1500"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-140" y="-1540"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-140" y="-1500"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-160" y="-2100"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="20" y="-1520"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-1060" y="-1680"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-1220" y="-1680"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-1060" y="-1640"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="40" y="-1880"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>PC</string>
</entry>
</elementAttributes>
<pos x="-1080" y="-1500"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1060" y="-1500"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-700" y="-1880"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-680" y="-1880"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>IR</string>
</entry>
</elementAttributes>
<pos x="-300" y="-1880"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-280" y="-1880"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-340" y="-1720"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-720" y="-1720"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-1300" y="-1460"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>IC</string>
</entry>
</elementAttributes>
<pos x="-1080" y="-1560"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>2</int>
</entry>
</elementAttributes>
<pos x="-1060" y="-1560"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="-2280" y="-2720"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>NetName</string>
<string>IR</string>
</entry>
</elementAttributes>
<pos x="-1540" y="-3500"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>splitterSpreading</string>
<int>2</int>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="-1540" y="-3380"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
<entry>
<string>flipSelPos</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="-1540" y="-3460"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1800" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1760" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1720" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1680" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1640" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1600" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1560" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-1520" y="-3320"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1440" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>PCINC</string>
</entry>
</elementAttributes>
<pos x="-2020" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2060" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2080" y="-2300"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="-2280" y="-2580"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ASTORE</string>
</entry>
</elementAttributes>
<pos x="-1260" y="-2760"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1300" y="-2760"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1320" y="-2760"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="-1260" y="-2720"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1300" y="-2720"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-1340" y="-2720"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="480" y="-1940"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="780" y="-1940"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-80" y="-1220"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-160" y="-1320"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-160" y="-1400"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="760" y="-2100"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="460" y="-2100"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>REGA</string>
</entry>
</elementAttributes>
<pos x="520" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="540" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>REGB</string>
</entry>
</elementAttributes>
<pos x="820" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="840" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>4: LIA</string>
</entry>
</elementAttributes>
<pos x="-1120" y="-2820"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>2: IMA</string>
</entry>
</elementAttributes>
<pos x="-1120" y="-3260"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>3: EXA</string>
</entry>
</elementAttributes>
<pos x="-1120" y="-3040"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1440" y="-3260"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-1340" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ASTORE</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ADDCR</string>
</entry>
</elementAttributes>
<pos x="-640" y="-2020"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-560" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="-520" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>NetName</string>
<string>ADDEN</string>
</entry>
</elementAttributes>
<pos x="-560" y="-2200"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ADDCR</string>
</entry>
</elementAttributes>
<pos x="-760" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ADDEN</string>
</entry>
</elementAttributes>
<pos x="-760" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-720" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-720" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>REGC</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="1100" y="-2020"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="1040" y="-2040"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CREAD</string>
</entry>
</elementAttributes>
<pos x="1040" y="-2120"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CSTORE</string>
</entry>
</elementAttributes>
<pos x="1060" y="-1960"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="1060" y="-2000"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="1"/>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="1180" y="-2060"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CREAD</string>
</entry>
</elementAttributes>
<pos x="1120" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CSTORE</string>
</entry>
</elementAttributes>
<pos x="1120" y="-2180"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>NetName</string>
<string>REGC</string>
</entry>
</elementAttributes>
<pos x="1180" y="-1960"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="1140" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="1140" y="-2180"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="1080" y="-1940"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="1060" y="-2100"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>REGC</string>
</entry>
</elementAttributes>
<pos x="1120" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="1140" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MREAD</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1280" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-3200"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1440" y="-3060"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-1340" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>AREAD</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-3040"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-3040"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-3040"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MSTORE</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-3000"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1280" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-3000"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-3000"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-1240" y="-1320"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>splitterSpreading</string>
<int>2</int>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="300" y="-3380"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="40" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="80" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="120" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="160" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="200" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="240" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="280" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="320" y="-3320"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>splitterSpreading</string>
<int>2</int>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="1220" y="-3380"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="960" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1000" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1040" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1080" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1120" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1160" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1200" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="1240" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>63: HLT</string>
</entry>
</elementAttributes>
<pos x="740" y="-2680"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="400" y="-2740"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>PCRESET</string>
</entry>
</elementAttributes>
<pos x="580" y="-2660"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="540" y="-2660"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="520" y="-2660"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="400" y="-3260"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>PCSET</string>
</entry>
</elementAttributes>
<pos x="580" y="-3220"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="540" y="-3220"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="520" y="-3220"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="580" y="-3080"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="540" y="-3080"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="500" y="-3080"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>32: JMP</string>
</entry>
</elementAttributes>
<pos x="740" y="-3240"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>7: IMB</string>
</entry>
</elementAttributes>
<pos x="-1120" y="-2600"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1440" y="-2620"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-1340" y="-2500"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>BSTORE</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2600"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-2600"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-2600"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MREAD</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2560"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2500"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1280" y="-2500"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-2560"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-2560"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>8: EXB</string>
</entry>
</elementAttributes>
<pos x="-1120" y="-2400"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1440" y="-2420"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-1340" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>BREAD</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MSTORE</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2360"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-1240" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1280" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1280" y="-2360"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1300" y="-2360"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1440" y="-2220"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>BSTORE</string>
</entry>
</elementAttributes>
<pos x="-1260" y="-2120"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-1300" y="-2120"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-1320" y="-2120"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="-1260" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-1300" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-1340" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>9: LIB</string>
</entry>
</elementAttributes>
<pos x="-1120" y="-2200"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>splitterSpreading</string>
<int>2</int>
</entry>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>8</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1,1,1,1,1,1,1,1</string>
</entry>
</elementAttributes>
<pos x="-620" y="-3380"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-880" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-840" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-800" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-760" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-720" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-680" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-640" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
</elementAttributes>
<pos x="-600" y="-3340"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>12: IMC</string>
</entry>
</elementAttributes>
<pos x="-200" y="-3260"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-520" y="-3280"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-420" y="-3160"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>CSTORE</string>
</entry>
</elementAttributes>
<pos x="-320" y="-3260"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-360" y="-3260"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-380" y="-3260"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MREAD</string>
</entry>
</elementAttributes>
<pos x="-320" y="-3220"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-320" y="-3160"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-360" y="-3160"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-360" y="-3220"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-380" y="-3220"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>13: EXC</string>
</entry>
</elementAttributes>
<pos x="-200" y="-3060"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-520" y="-3080"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-420" y="-2960"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>CREAD</string>
</entry>
</elementAttributes>
<pos x="-320" y="-3060"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-360" y="-3060"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-380" y="-3060"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MSTORE</string>
</entry>
</elementAttributes>
<pos x="-320" y="-3020"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>MADDR</string>
</entry>
</elementAttributes>
<pos x="-320" y="-2960"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-360" y="-2960"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-360" y="-3020"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-380" y="-3020"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-520" y="-2880"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>CSTORE</string>
</entry>
</elementAttributes>
<pos x="-340" y="-2780"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-380" y="-2780"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-400" y="-2780"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="-340" y="-2740"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-380" y="-2740"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="-420" y="-2740"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>14: LIC</string>
</entry>
</elementAttributes>
<pos x="-200" y="-2840"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-520" y="-2160"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="1320" y="-3280"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ADDEN</string>
</entry>
</elementAttributes>
<pos x="1500" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="1460" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="1440" y="-3200"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>64: ADD</string>
</entry>
</elementAttributes>
<pos x="1420" y="-3300"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>BSTORE</string>
</entry>
</elementAttributes>
<pos x="1500" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="1460" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="1440" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="-1200" y="-1280"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ICRESET</string>
</entry>
</elementAttributes>
<pos x="-760" y="-1360"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>ICRESET</string>
</entry>
</elementAttributes>
<pos x="-700" y="-1540"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes/>
<pos x="-680" y="-1540"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="540" y="-3180"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="520" y="-3180"/>
</visualElement>
<visualElement>
<elementName>Or</elementName>
<elementAttributes>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="-680" y="-1360"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-740" y="-1340"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="-2180" y="-2440"/>
</visualElement>
<visualElement>
<elementName>Not</elementName>
<elementAttributes/>
<pos x="-2240" y="-2300"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="-2180" y="-2340"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>ICRESET</string>
</entry>
</elementAttributes>
<pos x="-2020" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2060" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2080" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>WJMP</string>
</entry>
</elementAttributes>
<pos x="580" y="-3180"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes/>
<pos x="-2400" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-2420" y="-2380"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>WJMPSTORE</string>
</entry>
</elementAttributes>
<pos x="-2440" y="-2340"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>WJMP</string>
</entry>
</elementAttributes>
<pos x="-2540" y="-2420"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-2520" y="-2400"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>WJMPSTORE</string>
</entry>
</elementAttributes>
<pos x="-2120" y="-3160"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="-2160" y="-3160"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="-2180" y="-3160"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="540" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="520" y="-3140"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>WJMPSTORE</string>
</entry>
</elementAttributes>
<pos x="580" y="-3140"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-2400" y="-2320"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="-120" y="-2280"/>
</visualElement>
<visualElement>
<elementName>Clock</elementName>
<elementAttributes>
<entry>
<string>runRealTime</string>
<boolean>true</boolean>
</entry>
<entry>
<string>Frequency</string>
<int>200</int>
</entry>
</elementAttributes>
<pos x="-260" y="-2280"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="-1240" y="-1660"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="1320" y="-2980"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>BREAD</string>
</entry>
</elementAttributes>
<pos x="1500" y="-2900"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="1460" y="-2900"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="1440" y="-2900"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>128: OUT</string>
</entry>
</elementAttributes>
<pos x="1420" y="-3000"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>OUT</string>
</entry>
</elementAttributes>
<pos x="1500" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="1460" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="1440" y="-2860"/>
</visualElement>
<visualElement>
<elementName>Register</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>REGOUT</string>
</entry>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="480" y="-1360"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>OUT</string>
</entry>
</elementAttributes>
<pos x="440" y="-1300"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>CLOCK</string>
</entry>
</elementAttributes>
<pos x="440" y="-1340"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes/>
<pos x="460" y="-1280"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="580" y="-1300"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>REGB</string>
</entry>
</elementAttributes>
<pos x="440" y="-1360"/>
</visualElement>
<visualElement>
<elementName>PullDown</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="-220" y="-2080"/>
</visualElement>
<visualElement>
<elementName>Probe</elementName>
<elementAttributes/>
<pos x="60" y="-1360"/>
</visualElement>
<visualElement>
<elementName>Data</elementName>
<elementAttributes/>
<pos x="-1380" y="-1060"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>22</int>
</entry>
<entry>
<string>Label</string>
<string>A REGISTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>14</int>
</entry>
</elementAttributes>
<pos x="340" y="-2320"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>22</int>
</entry>
<entry>
<string>Label</string>
<string>B REGISTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>14</int>
</entry>
</elementAttributes>
<pos x="640" y="-2320"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>22</int>
</entry>
<entry>
<string>Label</string>
<string>C REGISTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>14</int>
</entry>
</elementAttributes>
<pos x="940" y="-2320"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>24</int>
</entry>
<entry>
<string>Label</string>
<string>PROGRAM COUNTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>21</int>
</entry>
</elementAttributes>
<pos x="-1400" y="-1720"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>14</int>
</entry>
<entry>
<string>Label</string>
<string>ARGUMENT REGISTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>15</int>
</entry>
</elementAttributes>
<pos x="-860" y="-1920"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>14</int>
</entry>
<entry>
<string>Label</string>
<string>INSTRUCTION REGISTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>15</int>
</entry>
</elementAttributes>
<pos x="-480" y="-1920"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>16</int>
</entry>
<entry>
<string>Label</string>
<string>INTERNAL COUNTER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>23</int>
</entry>
</elementAttributes>
<pos x="-860" y="-1580"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>21</int>
</entry>
<entry>
<string>Label</string>
<string>RANDOM ACCESS MEMORY</string>
</entry>
<entry>
<string>RectWidth</string>
<int>22</int>
</entry>
</elementAttributes>
<pos x="-280" y="-1580"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>12</int>
</entry>
<entry>
<string>Label</string>
<string>READ ONLY MEMORY</string>
</entry>
<entry>
<string>RectWidth</string>
<int>16</int>
</entry>
</elementAttributes>
<pos x="-120" y="-1920"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>16</int>
</entry>
<entry>
<string>Label</string>
<string>ADDER</string>
</entry>
<entry>
<string>RectWidth</string>
<int>21</int>
</entry>
</elementAttributes>
<pos x="-860" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>textFontSize</string>
<int>21</int>
</entry>
<entry>
<string>Description</string>
<string>DECODE INSTRUCTION
NAME</string>
</entry>
</elementAttributes>
<pos x="-2280" y="-3380"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>textFontSize</string>
<int>21</int>
</entry>
<entry>
<string>Description</string>
<string>DECODE INSTRUCTION
ARGUMENT</string>
</entry>
</elementAttributes>
<pos x="-2280" y="-2960"/>
</visualElement>
<visualElement>
<elementName>Rectangle</elementName>
<elementAttributes>
<entry>
<string>RectHeight</string>
<int>30</int>
</entry>
<entry>
<string>Label</string>
<string>OUT</string>
</entry>
<entry>
<string>RectWidth</string>
<int>38</int>
</entry>
</elementAttributes>
<pos x="340" y="-1780"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Inputs</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="400" y="-3020"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>PCSET</string>
</entry>
</elementAttributes>
<pos x="640" y="-2980"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="600" y="-2980"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="580" y="-2980"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>DBUS</string>
</entry>
</elementAttributes>
<pos x="640" y="-2840"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>8</int>
</entry>
</elementAttributes>
<pos x="600" y="-2840"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="2"/>
</entry>
<entry>
<string>NetName</string>
<string>AR</string>
</entry>
</elementAttributes>
<pos x="560" y="-2840"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>35: JMC</string>
</entry>
</elementAttributes>
<pos x="740" y="-3000"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="600" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="580" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>WJMP</string>
</entry>
</elementAttributes>
<pos x="640" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Driver</elementName>
<elementAttributes/>
<pos x="600" y="-2900"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes/>
<pos x="580" y="-2900"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>WJMPSTORE</string>
</entry>
</elementAttributes>
<pos x="640" y="-2900"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes/>
<pos x="480" y="-2940"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>NetName</string>
<string>ADDCR</string>
</entry>
</elementAttributes>
<pos x="460" y="-2880"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>textFontSize</string>
<int>21</int>
</entry>
<entry>
<string>Description</string>
<string>End of cycle</string>
</entry>
</elementAttributes>
<pos x="-2140" y="-2540"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>textFontSize</string>
<int>20</int>
</entry>
<entry>
<string>Description</string>
<string>&quot;Will jump&quot; register</string>
</entry>
</elementAttributes>
<pos x="-2660" y="-2300"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>textFontSize</string>
<int>21</int>
</entry>
<entry>
<string>Description</string>
<string>Micro steps</string>
</entry>
</elementAttributes>
<pos x="-2560" y="-3440"/>
</visualElement>
<visualElement>
<elementName>Text</elementName>
<elementAttributes>
<entry>
<string>Description</string>
<string>DATA BUS MONITOR
&gt; this is where the problem is</string>
</entry>
</elementAttributes>
<pos x="-340" y="-2180"/>
</visualElement>
</visualElements>
<wires>
<wire>
<p1 x="-1620" y="-2820"/>
<p2 x="-1440" y="-2820"/>
</wire>
<wire>
<p1 x="-740" y="-2820"/>
<p2 x="-520" y="-2820"/>
</wire>
<wire>
<p1 x="-2140" y="-2820"/>
<p2 x="-2120" y="-2820"/>
</wire>
<wire>
<p1 x="-2400" y="-2820"/>
<p2 x="-2280" y="-2820"/>
</wire>
<wire>
<p1 x="940" y="-2820"/>
<p2 x="1320" y="-2820"/>
</wire>
<wire>
<p1 x="-180" y="-1540"/>
<p2 x="-140" y="-1540"/>
</wire>
<wire>
<p1 x="-700" y="-1540"/>
<p2 x="-680" y="-1540"/>
</wire>
<wire>
<p1 x="-540" y="-1540"/>
<p2 x="-520" y="-1540"/>
</wire>
<wire>
<p1 x="-400" y="-3080"/>
<p2 x="-360" y="-3080"/>
</wire>
<wire>
<p1 x="-620" y="-3080"/>
<p2 x="-520" y="-3080"/>
</wire>
<wire>
<p1 x="560" y="-3080"/>
<p2 x="580" y="-3080"/>
</wire>
<wire>
<p1 x="500" y="-3080"/>
<p2 x="520" y="-3080"/>
</wire>
<wire>
<p1 x="-340" y="-1800"/>
<p2 x="-320" y="-1800"/>
</wire>
<wire>
<p1 x="-720" y="-1800"/>
<p2 x="-700" y="-1800"/>
</wire>
<wire>
<p1 x="-1820" y="-3340"/>
<p2 x="-1800" y="-3340"/>
</wire>
<wire>
<p1 x="-1780" y="-3340"/>
<p2 x="-1760" y="-3340"/>
</wire>
<wire>
<p1 x="-1740" y="-3340"/>
<p2 x="-1720" y="-3340"/>
</wire>
<wire>
<p1 x="-1700" y="-3340"/>
<p2 x="-1680" y="-3340"/>
</wire>
<wire>
<p1 x="-1660" y="-3340"/>
<p2 x="-1640" y="-3340"/>
</wire>
<wire>
<p1 x="-1620" y="-3340"/>
<p2 x="-1600" y="-3340"/>
</wire>
<wire>
<p1 x="-1580" y="-3340"/>
<p2 x="-1560" y="-3340"/>
</wire>
<wire>
<p1 x="-1540" y="-3340"/>
<p2 x="-1520" y="-3340"/>
</wire>
<wire>
<p1 x="20" y="-3340"/>
<p2 x="40" y="-3340"/>
</wire>
<wire>
<p1 x="60" y="-3340"/>
<p2 x="80" y="-3340"/>
</wire>
<wire>
<p1 x="100" y="-3340"/>
<p2 x="120" y="-3340"/>
</wire>
<wire>
<p1 x="140" y="-3340"/>
<p2 x="160" y="-3340"/>
</wire>
<wire>
<p1 x="180" y="-3340"/>
<p2 x="200" y="-3340"/>
</wire>
<wire>
<p1 x="220" y="-3340"/>
<p2 x="240" y="-3340"/>
</wire>
<wire>
<p1 x="260" y="-3340"/>
<p2 x="280" y="-3340"/>
</wire>
<wire>
<p1 x="300" y="-3340"/>
<p2 x="320" y="-3340"/>
</wire>
<wire>
<p1 x="-1800" y="-2060"/>
<p2 x="-1440" y="-2060"/>
</wire>
<wire>
<p1 x="-780" y="-2060"/>
<p2 x="-740" y="-2060"/>
</wire>
<wire>
<p1 x="-680" y="-2060"/>
<p2 x="-660" y="-2060"/>
</wire>
<wire>
<p1 x="-1680" y="-2320"/>
<p2 x="-1440" y="-2320"/>
</wire>
<wire>
<p1 x="-2120" y="-2320"/>
<p2 x="-2060" y="-2320"/>
</wire>
<wire>
<p1 x="580" y="-1300"/>
<p2 x="620" y="-1300"/>
</wire>
<wire>
<p1 x="780" y="-1300"/>
<p2 x="800" y="-1300"/>
</wire>
<wire>
<p1 x="940" y="-1300"/>
<p2 x="960" y="-1300"/>
</wire>
<wire>
<p1 x="440" y="-1300"/>
<p2 x="460" y="-1300"/>
</wire>
<wire>
<p1 x="-60" y="-1300"/>
<p2 x="-40" y="-1300"/>
</wire>
<wire>
<p1 x="-700" y="-1300"/>
<p2 x="-480" y="-1300"/>
</wire>
<wire>
<p1 x="620" y="-1300"/>
<p2 x="640" y="-1300"/>
</wire>
<wire>
<p1 x="-2380" y="-2580"/>
<p2 x="-2280" y="-2580"/>
</wire>
<wire>
<p1 x="-1320" y="-2580"/>
<p2 x="-1280" y="-2580"/>
</wire>
<wire>
<p1 x="-1620" y="-2580"/>
<p2 x="-1440" y="-2580"/>
</wire>
<wire>
<p1 x="40" y="-2580"/>
<p2 x="400" y="-2580"/>
</wire>
<wire>
<p1 x="-1080" y="-1560"/>
<p2 x="-1060" y="-1560"/>
</wire>
<wire>
<p1 x="-2220" y="-2840"/>
<p2 x="-2200" y="-2840"/>
</wire>
<wire>
<p1 x="-1560" y="-2840"/>
<p2 x="-1440" y="-2840"/>
</wire>
<wire>
<p1 x="-700" y="-2840"/>
<p2 x="-520" y="-2840"/>
</wire>
<wire>
<p1 x="1000" y="-2840"/>
<p2 x="1320" y="-2840"/>
</wire>
<wire>
<p1 x="620" y="-2840"/>
<p2 x="640" y="-2840"/>
</wire>
<wire>
<p1 x="560" y="-2840"/>
<p2 x="580" y="-2840"/>
</wire>
<wire>
<p1 x="-2200" y="-2840"/>
<p2 x="-2160" y="-2840"/>
</wire>
<wire>
<p1 x="-720" y="-1820"/>
<p2 x="-340" y="-1820"/>
</wire>
<wire>
<p1 x="-340" y="-1820"/>
<p2 x="100" y="-1820"/>
</wire>
<wire>
<p1 x="500" y="-3100"/>
<p2 x="540" y="-3100"/>
</wire>
<wire>
<p1 x="-1800" y="-3100"/>
<p2 x="-1440" y="-3100"/>
</wire>
<wire>
<p1 x="40" y="-3100"/>
<p2 x="400" y="-3100"/>
</wire>
<wire>
<p1 x="-1280" y="-2080"/>
<p2 x="-1260" y="-2080"/>
</wire>
<wire>
<p1 x="-1340" y="-2080"/>
<p2 x="-1320" y="-2080"/>
</wire>
<wire>
<p1 x="-1760" y="-2080"/>
<p2 x="-1440" y="-2080"/>
</wire>
<wire>
<p1 x="-760" y="-2080"/>
<p2 x="-740" y="-2080"/>
</wire>
<wire>
<p1 x="-540" y="-2080"/>
<p2 x="-520" y="-2080"/>
</wire>
<wire>
<p1 x="-680" y="-2080"/>
<p2 x="-580" y="-2080"/>
</wire>
<wire>
<p1 x="940" y="-3360"/>
<p2 x="960" y="-3360"/>
</wire>
<wire>
<p1 x="980" y="-3360"/>
<p2 x="1000" y="-3360"/>
</wire>
<wire>
<p1 x="1020" y="-3360"/>
<p2 x="1040" y="-3360"/>
</wire>
<wire>
<p1 x="1060" y="-3360"/>
<p2 x="1080" y="-3360"/>
</wire>
<wire>
<p1 x="1100" y="-3360"/>
<p2 x="1120" y="-3360"/>
</wire>
<wire>
<p1 x="1140" y="-3360"/>
<p2 x="1160" y="-3360"/>
</wire>
<wire>
<p1 x="1180" y="-3360"/>
<p2 x="1200" y="-3360"/>
</wire>
<wire>
<p1 x="1220" y="-3360"/>
<p2 x="1240" y="-3360"/>
</wire>
<wire>
<p1 x="-2420" y="-3360"/>
<p2 x="-2400" y="-3360"/>
</wire>
<wire>
<p1 x="-2380" y="-3360"/>
<p2 x="-2360" y="-3360"/>
</wire>
<wire>
<p1 x="-900" y="-3360"/>
<p2 x="-880" y="-3360"/>
</wire>
<wire>
<p1 x="-860" y="-3360"/>
<p2 x="-840" y="-3360"/>
</wire>
<wire>
<p1 x="-820" y="-3360"/>
<p2 x="-800" y="-3360"/>
</wire>
<wire>
<p1 x="-780" y="-3360"/>
<p2 x="-760" y="-3360"/>
</wire>
<wire>
<p1 x="-740" y="-3360"/>
<p2 x="-720" y="-3360"/>
</wire>
<wire>
<p1 x="-700" y="-3360"/>
<p2 x="-680" y="-3360"/>
</wire>
<wire>
<p1 x="-660" y="-3360"/>
<p2 x="-640" y="-3360"/>
</wire>
<wire>
<p1 x="-620" y="-3360"/>
<p2 x="-600" y="-3360"/>
</wire>
<wire>
<p1 x="-1380" y="-2340"/>
<p2 x="-1320" y="-2340"/>
</wire>
<wire>
<p1 x="-2440" y="-2340"/>
<p2 x="-2420" y="-2340"/>
</wire>
<wire>
<p1 x="-2220" y="-2340"/>
<p2 x="-2180" y="-2340"/>
</wire>
<wire>
<p1 x="-1320" y="-2340"/>
<p2 x="-1280" y="-2340"/>
</wire>
<wire>
<p1 x="-2420" y="-2340"/>
<p2 x="-2400" y="-2340"/>
</wire>
<wire>
<p1 x="20" y="-1320"/>
<p2 x="40" y="-1320"/>
</wire>
<wire>
<p1 x="-1200" y="-1320"/>
<p2 x="-1140" y="-1320"/>
</wire>
<wire>
<p1 x="-700" y="-1320"/>
<p2 x="-680" y="-1320"/>
</wire>
<wire>
<p1 x="700" y="-1320"/>
<p2 x="720" y="-1320"/>
</wire>
<wire>
<p1 x="860" y="-1320"/>
<p2 x="880" y="-1320"/>
</wire>
<wire>
<p1 x="1020" y="-1320"/>
<p2 x="1040" y="-1320"/>
</wire>
<wire>
<p1 x="460" y="-1320"/>
<p2 x="480" y="-1320"/>
</wire>
<wire>
<p1 x="40" y="-1320"/>
<p2 x="80" y="-1320"/>
</wire>
<wire>
<p1 x="-1260" y="-2600"/>
<p2 x="-1240" y="-2600"/>
</wire>
<wire>
<p1 x="-1580" y="-2600"/>
<p2 x="-1440" y="-2600"/>
</wire>
<wire>
<p1 x="80" y="-2600"/>
<p2 x="400" y="-2600"/>
</wire>
<wire>
<p1 x="1480" y="-2860"/>
<p2 x="1500" y="-2860"/>
</wire>
<wire>
<p1 x="-2380" y="-2860"/>
<p2 x="-2280" y="-2860"/>
</wire>
<wire>
<p1 x="-2140" y="-2860"/>
<p2 x="-2120" y="-2860"/>
</wire>
<wire>
<p1 x="-1520" y="-2860"/>
<p2 x="-1440" y="-2860"/>
</wire>
<wire>
<p1 x="-660" y="-2860"/>
<p2 x="-520" y="-2860"/>
</wire>
<wire>
<p1 x="1040" y="-2860"/>
<p2 x="1320" y="-2860"/>
</wire>
<wire>
<p1 x="40" y="-2860"/>
<p2 x="400" y="-2860"/>
</wire>
<wire>
<p1 x="560" y="-2860"/>
<p2 x="600" y="-2860"/>
</wire>
<wire>
<p1 x="-1760" y="-3120"/>
<p2 x="-1440" y="-3120"/>
</wire>
<wire>
<p1 x="80" y="-3120"/>
<p2 x="400" y="-3120"/>
</wire>
<wire>
<p1 x="-880" y="-3120"/>
<p2 x="-520" y="-3120"/>
</wire>
<wire>
<p1 x="960" y="-3120"/>
<p2 x="1320" y="-3120"/>
</wire>
<wire>
<p1 x="-1720" y="-2100"/>
<p2 x="-1440" y="-2100"/>
</wire>
<wire>
<p1 x="-1340" y="-2100"/>
<p2 x="-1300" y="-2100"/>
</wire>
<wire>
<p1 x="780" y="-2100"/>
<p2 x="880" y="-2100"/>
</wire>
<wire>
<p1 x="1080" y="-2100"/>
<p2 x="1180" y="-2100"/>
</wire>
<wire>
<p1 x="480" y="-2100"/>
<p2 x="580" y="-2100"/>
</wire>
<wire>
<p1 x="-780" y="-2100"/>
<p2 x="-760" y="-2100"/>
</wire>
<wire>
<p1 x="-240" y="-2100"/>
<p2 x="-220" y="-2100"/>
</wire>
<wire>
<p1 x="-220" y="-2100"/>
<p2 x="-160" y="-2100"/>
</wire>
<wire>
<p1 x="-1260" y="-2360"/>
<p2 x="-1240" y="-2360"/>
</wire>
<wire>
<p1 x="-1660" y="-2360"/>
<p2 x="-1440" y="-2360"/>
</wire>
<wire>
<p1 x="-2420" y="-2360"/>
<p2 x="-2400" y="-2360"/>
</wire>
<wire>
<p1 x="100" y="-2620"/>
<p2 x="400" y="-2620"/>
</wire>
<wire>
<p1 x="-1320" y="-2620"/>
<p2 x="-1280" y="-2620"/>
</wire>
<wire>
<p1 x="-1540" y="-2620"/>
<p2 x="-1440" y="-2620"/>
</wire>
<wire>
<p1 x="-180" y="-1340"/>
<p2 x="-160" y="-1340"/>
</wire>
<wire>
<p1 x="-1260" y="-1340"/>
<p2 x="-1240" y="-1340"/>
</wire>
<wire>
<p1 x="-600" y="-1340"/>
<p2 x="-580" y="-1340"/>
</wire>
<wire>
<p1 x="760" y="-1340"/>
<p2 x="800" y="-1340"/>
</wire>
<wire>
<p1 x="920" y="-1340"/>
<p2 x="960" y="-1340"/>
</wire>
<wire>
<p1 x="540" y="-1340"/>
<p2 x="600" y="-1340"/>
</wire>
<wire>
<p1 x="440" y="-1340"/>
<p2 x="480" y="-1340"/>
</wire>
<wire>
<p1 x="-160" y="-1340"/>
<p2 x="-40" y="-1340"/>
</wire>
<wire>
<p1 x="-1240" y="-1340"/>
<p2 x="-1140" y="-1340"/>
</wire>
<wire>
<p1 x="600" y="-1340"/>
<p2 x="640" y="-1340"/>
</wire>
<wire>
<p1 x="1420" y="-2880"/>
<p2 x="1460" y="-2880"/>
</wire>
<wire>
<p1 x="-2200" y="-2880"/>
<p2 x="-2160" y="-2880"/>
</wire>
<wire>
<p1 x="-600" y="-2880"/>
<p2 x="-520" y="-2880"/>
</wire>
<wire>
<p1 x="1080" y="-2880"/>
<p2 x="1320" y="-2880"/>
</wire>
<wire>
<p1 x="80" y="-2880"/>
<p2 x="400" y="-2880"/>
</wire>
<wire>
<p1 x="-1720" y="-3140"/>
<p2 x="-1440" y="-3140"/>
</wire>
<wire>
<p1 x="-1260" y="-3140"/>
<p2 x="-1240" y="-3140"/>
</wire>
<wire>
<p1 x="-1340" y="-3140"/>
<p2 x="-1300" y="-3140"/>
</wire>
<wire>
<p1 x="100" y="-3140"/>
<p2 x="400" y="-3140"/>
</wire>
<wire>
<p1 x="-840" y="-3140"/>
<p2 x="-520" y="-3140"/>
</wire>
<wire>
<p1 x="980" y="-3140"/>
<p2 x="1320" y="-3140"/>
</wire>
<wire>
<p1 x="1480" y="-3140"/>
<p2 x="1500" y="-3140"/>
</wire>
<wire>
<p1 x="560" y="-3140"/>
<p2 x="580" y="-3140"/>
</wire>
<wire>
<p1 x="-1680" y="-2120"/>
<p2 x="-1440" y="-2120"/>
</wire>
<wire>
<p1 x="-1280" y="-2120"/>
<p2 x="-1260" y="-2120"/>
</wire>
<wire>
<p1 x="740" y="-2120"/>
<p2 x="760" y="-2120"/>
</wire>
<wire>
<p1 x="1040" y="-2120"/>
<p2 x="1060" y="-2120"/>
</wire>
<wire>
<p1 x="440" y="-2120"/>
<p2 x="460" y="-2120"/>
</wire>
<wire>
<p1 x="1060" y="-2120"/>
<p2 x="1160" y="-2120"/>
</wire>
<wire>
<p1 x="460" y="-2120"/>
<p2 x="560" y="-2120"/>
</wire>
<wire>
<p1 x="760" y="-2120"/>
<p2 x="860" y="-2120"/>
</wire>
<wire>
<p1 x="-1320" y="-2380"/>
<p2 x="-1280" y="-2380"/>
</wire>
<wire>
<p1 x="-1600" y="-2380"/>
<p2 x="-1440" y="-2380"/>
</wire>
<wire>
<p1 x="-2420" y="-2380"/>
<p2 x="-2400" y="-2380"/>
</wire>
<wire>
<p1 x="-2340" y="-2380"/>
<p2 x="-2260" y="-2380"/>
</wire>
<wire>
<p1 x="140" y="-2640"/>
<p2 x="400" y="-2640"/>
</wire>
<wire>
<p1 x="-80" y="-1360"/>
<p2 x="-40" y="-1360"/>
</wire>
<wire>
<p1 x="40" y="-1360"/>
<p2 x="60" y="-1360"/>
</wire>
<wire>
<p1 x="-1200" y="-1360"/>
<p2 x="-1140" y="-1360"/>
</wire>
<wire>
<p1 x="-760" y="-1360"/>
<p2 x="-740" y="-1360"/>
</wire>
<wire>
<p1 x="440" y="-1360"/>
<p2 x="480" y="-1360"/>
</wire>
<wire>
<p1 x="-740" y="-1360"/>
<p2 x="-680" y="-1360"/>
</wire>
<wire>
<p1 x="-1800" y="-2900"/>
<p2 x="-1440" y="-2900"/>
</wire>
<wire>
<p1 x="1480" y="-2900"/>
<p2 x="1500" y="-2900"/>
</wire>
<wire>
<p1 x="1380" y="-2900"/>
<p2 x="1420" y="-2900"/>
</wire>
<wire>
<p1 x="100" y="-2900"/>
<p2 x="400" y="-2900"/>
</wire>
<wire>
<p1 x="460" y="-2900"/>
<p2 x="480" y="-2900"/>
</wire>
<wire>
<p1 x="620" y="-2900"/>
<p2 x="640" y="-2900"/>
</wire>
<wire>
<p1 x="-700" y="-1880"/>
<p2 x="-680" y="-1880"/>
</wire>
<wire>
<p1 x="-300" y="-1880"/>
<p2 x="-280" y="-1880"/>
</wire>
<wire>
<p1 x="0" y="-1880"/>
<p2 x="40" y="-1880"/>
</wire>
<wire>
<p1 x="-2140" y="-3160"/>
<p2 x="-2120" y="-3160"/>
</wire>
<wire>
<p1 x="-1680" y="-3160"/>
<p2 x="-1440" y="-3160"/>
</wire>
<wire>
<p1 x="160" y="-3160"/>
<p2 x="400" y="-3160"/>
</wire>
<wire>
<p1 x="-800" y="-3160"/>
<p2 x="-520" y="-3160"/>
</wire>
<wire>
<p1 x="-340" y="-3160"/>
<p2 x="-320" y="-3160"/>
</wire>
<wire>
<p1 x="-420" y="-3160"/>
<p2 x="-380" y="-3160"/>
</wire>
<wire>
<p1 x="1420" y="-3160"/>
<p2 x="1460" y="-3160"/>
</wire>
<wire>
<p1 x="1040" y="-3160"/>
<p2 x="1320" y="-3160"/>
</wire>
<wire>
<p1 x="500" y="-3160"/>
<p2 x="540" y="-3160"/>
</wire>
<wire>
<p1 x="-1380" y="-2140"/>
<p2 x="-1340" y="-2140"/>
</wire>
<wire>
<p1 x="-1340" y="-2140"/>
<p2 x="-1300" y="-2140"/>
</wire>
<wire>
<p1 x="-1540" y="-3420"/>
<p2 x="-620" y="-3420"/>
</wire>
<wire>
<p1 x="-620" y="-3420"/>
<p2 x="300" y="-3420"/>
</wire>
<wire>
<p1 x="300" y="-3420"/>
<p2 x="1220" y="-3420"/>
</wire>
<wire>
<p1 x="-1260" y="-2400"/>
<p2 x="-1240" y="-2400"/>
</wire>
<wire>
<p1 x="-1560" y="-2400"/>
<p2 x="-1440" y="-2400"/>
</wire>
<wire>
<p1 x="-2040" y="-2400"/>
<p2 x="-2020" y="-2400"/>
</wire>
<wire>
<p1 x="-2260" y="-2400"/>
<p2 x="-2180" y="-2400"/>
</wire>
<wire>
<p1 x="-2460" y="-2400"/>
<p2 x="-2400" y="-2400"/>
</wire>
<wire>
<p1 x="560" y="-2660"/>
<p2 x="580" y="-2660"/>
</wire>
<wire>
<p1 x="460" y="-2660"/>
<p2 x="500" y="-2660"/>
</wire>
<wire>
<p1 x="-1220" y="-1380"/>
<p2 x="-1140" y="-1380"/>
</wire>
<wire>
<p1 x="-580" y="-1380"/>
<p2 x="-560" y="-1380"/>
</wire>
<wire>
<p1 x="-1760" y="-2920"/>
<p2 x="-1440" y="-2920"/>
</wire>
<wire>
<p1 x="-880" y="-2920"/>
<p2 x="-520" y="-2920"/>
</wire>
<wire>
<p1 x="1420" y="-2920"/>
<p2 x="1460" y="-2920"/>
</wire>
<wire>
<p1 x="1120" y="-2920"/>
<p2 x="1320" y="-2920"/>
</wire>
<wire>
<p1 x="160" y="-2920"/>
<p2 x="400" y="-2920"/>
</wire>
<wire>
<p1 x="540" y="-2920"/>
<p2 x="560" y="-2920"/>
</wire>
<wire>
<p1 x="560" y="-2920"/>
<p2 x="600" y="-2920"/>
</wire>
<wire>
<p1 x="-1080" y="-1640"/>
<p2 x="-1060" y="-1640"/>
</wire>
<wire>
<p1 x="-2200" y="-3180"/>
<p2 x="-2160" y="-3180"/>
</wire>
<wire>
<p1 x="-1380" y="-3180"/>
<p2 x="-1320" y="-3180"/>
</wire>
<wire>
<p1 x="-760" y="-3180"/>
<p2 x="-520" y="-3180"/>
</wire>
<wire>
<p1 x="1080" y="-3180"/>
<p2 x="1320" y="-3180"/>
</wire>
<wire>
<p1 x="460" y="-3180"/>
<p2 x="500" y="-3180"/>
</wire>
<wire>
<p1 x="560" y="-3180"/>
<p2 x="580" y="-3180"/>
</wire>
<wire>
<p1 x="-1320" y="-3180"/>
<p2 x="-1280" y="-3180"/>
</wire>
<wire>
<p1 x="-1660" y="-2160"/>
<p2 x="-1440" y="-2160"/>
</wire>
<wire>
<p1 x="-1320" y="-2420"/>
<p2 x="-1280" y="-2420"/>
</wire>
<wire>
<p1 x="-1520" y="-2420"/>
<p2 x="-1440" y="-2420"/>
</wire>
<wire>
<p1 x="-2120" y="-2420"/>
<p2 x="-2060" y="-2420"/>
</wire>
<wire>
<p1 x="-2540" y="-2420"/>
<p2 x="-2520" y="-2420"/>
</wire>
<wire>
<p1 x="-2520" y="-2420"/>
<p2 x="-2460" y="-2420"/>
</wire>
<wire>
<p1 x="500" y="-2680"/>
<p2 x="540" y="-2680"/>
</wire>
<wire>
<p1 x="180" y="-2680"/>
<p2 x="400" y="-2680"/>
</wire>
<wire>
<p1 x="-2360" y="-2680"/>
<p2 x="-2280" y="-2680"/>
</wire>
<wire>
<p1 x="-600" y="-1400"/>
<p2 x="-560" y="-1400"/>
</wire>
<wire>
<p1 x="-500" y="-1400"/>
<p2 x="-480" y="-1400"/>
</wire>
<wire>
<p1 x="-1200" y="-1400"/>
<p2 x="-1140" y="-1400"/>
</wire>
<wire>
<p1 x="-1720" y="-2940"/>
<p2 x="-1440" y="-2940"/>
</wire>
<wire>
<p1 x="-1260" y="-2940"/>
<p2 x="-1240" y="-2940"/>
</wire>
<wire>
<p1 x="-1340" y="-2940"/>
<p2 x="-1300" y="-2940"/>
</wire>
<wire>
<p1 x="-840" y="-2940"/>
<p2 x="-520" y="-2940"/>
</wire>
<wire>
<p1 x="1160" y="-2940"/>
<p2 x="1320" y="-2940"/>
</wire>
<wire>
<p1 x="460" y="-2940"/>
<p2 x="480" y="-2940"/>
</wire>
<wire>
<p1 x="620" y="-2940"/>
<p2 x="640" y="-2940"/>
</wire>
<wire>
<p1 x="-1640" y="-3200"/>
<p2 x="-1440" y="-3200"/>
</wire>
<wire>
<p1 x="-2140" y="-3200"/>
<p2 x="-2120" y="-3200"/>
</wire>
<wire>
<p1 x="-1260" y="-3200"/>
<p2 x="-1240" y="-3200"/>
</wire>
<wire>
<p1 x="200" y="-3200"/>
<p2 x="400" y="-3200"/>
</wire>
<wire>
<p1 x="-460" y="-3200"/>
<p2 x="-400" y="-3200"/>
</wire>
<wire>
<p1 x="1480" y="-3200"/>
<p2 x="1500" y="-3200"/>
</wire>
<wire>
<p1 x="1380" y="-3200"/>
<p2 x="1420" y="-3200"/>
</wire>
<wire>
<p1 x="500" y="-3200"/>
<p2 x="540" y="-3200"/>
</wire>
<wire>
<p1 x="-400" y="-3200"/>
<p2 x="-360" y="-3200"/>
</wire>
<wire>
<p1 x="-1600" y="-2180"/>
<p2 x="-1440" y="-2180"/>
</wire>
<wire>
<p1 x="820" y="-2180"/>
<p2 x="840" y="-2180"/>
</wire>
<wire>
<p1 x="1120" y="-2180"/>
<p2 x="1140" y="-2180"/>
</wire>
<wire>
<p1 x="520" y="-2180"/>
<p2 x="540" y="-2180"/>
</wire>
<wire>
<p1 x="-760" y="-2180"/>
<p2 x="-720" y="-2180"/>
</wire>
<wire>
<p1 x="-560" y="-2180"/>
<p2 x="-520" y="-2180"/>
</wire>
<wire>
<p1 x="-1920" y="-3460"/>
<p2 x="-1560" y="-3460"/>
</wire>
<wire>
<p1 x="-2220" y="-2440"/>
<p2 x="-2180" y="-2440"/>
</wire>
<wire>
<p1 x="220" y="-2700"/>
<p2 x="400" y="-2700"/>
</wire>
<wire>
<p1 x="-2220" y="-2700"/>
<p2 x="-1920" y="-2700"/>
</wire>
<wire>
<p1 x="-1800" y="-2700"/>
<p2 x="-1440" y="-2700"/>
</wire>
<wire>
<p1 x="-180" y="-1420"/>
<p2 x="-160" y="-1420"/>
</wire>
<wire>
<p1 x="-500" y="-1420"/>
<p2 x="-460" y="-1420"/>
</wire>
<wire>
<p1 x="-580" y="-1420"/>
<p2 x="-560" y="-1420"/>
</wire>
<wire>
<p1 x="-1080" y="-1420"/>
<p2 x="-1040" y="-1420"/>
</wire>
<wire>
<p1 x="-1260" y="-1420"/>
<p2 x="-1140" y="-1420"/>
</wire>
<wire>
<p1 x="-160" y="-1420"/>
<p2 x="-80" y="-1420"/>
</wire>
<wire>
<p1 x="-1680" y="-2960"/>
<p2 x="-1440" y="-2960"/>
</wire>
<wire>
<p1 x="-800" y="-2960"/>
<p2 x="-520" y="-2960"/>
</wire>
<wire>
<p1 x="-340" y="-2960"/>
<p2 x="-320" y="-2960"/>
</wire>
<wire>
<p1 x="-420" y="-2960"/>
<p2 x="-380" y="-2960"/>
</wire>
<wire>
<p1 x="1200" y="-2960"/>
<p2 x="1320" y="-2960"/>
</wire>
<wire>
<p1 x="200" y="-2960"/>
<p2 x="400" y="-2960"/>
</wire>
<wire>
<p1 x="560" y="-2960"/>
<p2 x="600" y="-2960"/>
</wire>
<wire>
<p1 x="-1080" y="-1680"/>
<p2 x="-1060" y="-1680"/>
</wire>
<wire>
<p1 x="-1260" y="-1680"/>
<p2 x="-1240" y="-1680"/>
</wire>
<wire>
<p1 x="660" y="-1680"/>
<p2 x="740" y="-1680"/>
</wire>
<wire>
<p1 x="820" y="-1680"/>
<p2 x="900" y="-1680"/>
</wire>
<wire>
<p1 x="980" y="-1680"/>
<p2 x="1060" y="-1680"/>
</wire>
<wire>
<p1 x="-1240" y="-1680"/>
<p2 x="-1220" y="-1680"/>
</wire>
<wire>
<p1 x="560" y="-3220"/>
<p2 x="580" y="-3220"/>
</wire>
<wire>
<p1 x="-1320" y="-3220"/>
<p2 x="-1280" y="-3220"/>
</wire>
<wire>
<p1 x="-1600" y="-3220"/>
<p2 x="-1440" y="-3220"/>
</wire>
<wire>
<p1 x="-2200" y="-3220"/>
<p2 x="-2160" y="-3220"/>
</wire>
<wire>
<p1 x="240" y="-3220"/>
<p2 x="400" y="-3220"/>
</wire>
<wire>
<p1 x="-340" y="-3220"/>
<p2 x="-320" y="-3220"/>
</wire>
<wire>
<p1 x="-740" y="-3220"/>
<p2 x="-520" y="-3220"/>
</wire>
<wire>
<p1 x="1420" y="-3220"/>
<p2 x="1460" y="-3220"/>
</wire>
<wire>
<p1 x="1120" y="-3220"/>
<p2 x="1320" y="-3220"/>
</wire>
<wire>
<p1 x="-1560" y="-2200"/>
<p2 x="-1440" y="-2200"/>
</wire>
<wire>
<p1 x="-1800" y="-2460"/>
<p2 x="-1440" y="-2460"/>
</wire>
<wire>
<p1 x="260" y="-2720"/>
<p2 x="400" y="-2720"/>
</wire>
<wire>
<p1 x="-2420" y="-2720"/>
<p2 x="-2280" y="-2720"/>
</wire>
<wire>
<p1 x="-1280" y="-2720"/>
<p2 x="-1260" y="-2720"/>
</wire>
<wire>
<p1 x="-1340" y="-2720"/>
<p2 x="-1320" y="-2720"/>
</wire>
<wire>
<p1 x="-1760" y="-2720"/>
<p2 x="-1440" y="-2720"/>
</wire>
<wire>
<p1 x="-880" y="-2720"/>
<p2 x="-520" y="-2720"/>
</wire>
<wire>
<p1 x="-600" y="-1440"/>
<p2 x="-580" y="-1440"/>
</wire>
<wire>
<p1 x="-1380" y="-2980"/>
<p2 x="-1320" y="-2980"/>
</wire>
<wire>
<p1 x="-760" y="-2980"/>
<p2 x="-520" y="-2980"/>
</wire>
<wire>
<p1 x="1240" y="-2980"/>
<p2 x="1320" y="-2980"/>
</wire>
<wire>
<p1 x="240" y="-2980"/>
<p2 x="400" y="-2980"/>
</wire>
<wire>
<p1 x="620" y="-2980"/>
<p2 x="640" y="-2980"/>
</wire>
<wire>
<p1 x="-1320" y="-2980"/>
<p2 x="-1280" y="-2980"/>
</wire>
<wire>
<p1 x="640" y="-1700"/>
<p2 x="720" y="-1700"/>
</wire>
<wire>
<p1 x="800" y="-1700"/>
<p2 x="880" y="-1700"/>
</wire>
<wire>
<p1 x="960" y="-1700"/>
<p2 x="1040" y="-1700"/>
</wire>
<wire>
<p1 x="760" y="-1960"/>
<p2 x="780" y="-1960"/>
</wire>
<wire>
<p1 x="1060" y="-1960"/>
<p2 x="1080" y="-1960"/>
</wire>
<wire>
<p1 x="460" y="-1960"/>
<p2 x="480" y="-1960"/>
</wire>
<wire>
<p1 x="500" y="-3240"/>
<p2 x="540" y="-3240"/>
</wire>
<wire>
<p1 x="-1260" y="-3240"/>
<p2 x="-1240" y="-3240"/>
</wire>
<wire>
<p1 x="-1580" y="-3240"/>
<p2 x="-1440" y="-3240"/>
</wire>
<wire>
<p1 x="-2140" y="-3240"/>
<p2 x="-2120" y="-3240"/>
</wire>
<wire>
<p1 x="280" y="-3240"/>
<p2 x="400" y="-3240"/>
</wire>
<wire>
<p1 x="-400" y="-3240"/>
<p2 x="-360" y="-3240"/>
</wire>
<wire>
<p1 x="-700" y="-3240"/>
<p2 x="-520" y="-3240"/>
</wire>
<wire>
<p1 x="1160" y="-3240"/>
<p2 x="1320" y="-3240"/>
</wire>
<wire>
<p1 x="-2400" y="-3240"/>
<p2 x="-2280" y="-3240"/>
</wire>
<wire>
<p1 x="-1540" y="-2220"/>
<p2 x="-1440" y="-2220"/>
</wire>
<wire>
<p1 x="820" y="-2220"/>
<p2 x="840" y="-2220"/>
</wire>
<wire>
<p1 x="1120" y="-2220"/>
<p2 x="1140" y="-2220"/>
</wire>
<wire>
<p1 x="520" y="-2220"/>
<p2 x="540" y="-2220"/>
</wire>
<wire>
<p1 x="-760" y="-2220"/>
<p2 x="-720" y="-2220"/>
</wire>
<wire>
<p1 x="-1760" y="-2480"/>
<p2 x="-1440" y="-2480"/>
</wire>
<wire>
<p1 x="300" y="-2740"/>
<p2 x="400" y="-2740"/>
</wire>
<wire>
<p1 x="-1720" y="-2740"/>
<p2 x="-1440" y="-2740"/>
</wire>
<wire>
<p1 x="-1340" y="-2740"/>
<p2 x="-1300" y="-2740"/>
</wire>
<wire>
<p1 x="-360" y="-2740"/>
<p2 x="-340" y="-2740"/>
</wire>
<wire>
<p1 x="-420" y="-2740"/>
<p2 x="-400" y="-2740"/>
</wire>
<wire>
<p1 x="-840" y="-2740"/>
<p2 x="-520" y="-2740"/>
</wire>
<wire>
<p1 x="-1640" y="-3000"/>
<p2 x="-1440" y="-3000"/>
</wire>
<wire>
<p1 x="-1260" y="-3000"/>
<p2 x="-1240" y="-3000"/>
</wire>
<wire>
<p1 x="-460" y="-3000"/>
<p2 x="-400" y="-3000"/>
</wire>
<wire>
<p1 x="260" y="-3000"/>
<p2 x="400" y="-3000"/>
</wire>
<wire>
<p1 x="560" y="-3000"/>
<p2 x="600" y="-3000"/>
</wire>
<wire>
<p1 x="-400" y="-3000"/>
<p2 x="-360" y="-3000"/>
</wire>
<wire>
<p1 x="620" y="-1720"/>
<p2 x="700" y="-1720"/>
</wire>
<wire>
<p1 x="780" y="-1720"/>
<p2 x="860" y="-1720"/>
</wire>
<wire>
<p1 x="940" y="-1720"/>
<p2 x="1020" y="-1720"/>
</wire>
<wire>
<p1 x="780" y="-1980"/>
<p2 x="800" y="-1980"/>
</wire>
<wire>
<p1 x="1080" y="-1980"/>
<p2 x="1100" y="-1980"/>
</wire>
<wire>
<p1 x="480" y="-1980"/>
<p2 x="500" y="-1980"/>
</wire>
<wire>
<p1 x="-2220" y="-3260"/>
<p2 x="-2200" y="-3260"/>
</wire>
<wire>
<p1 x="-1520" y="-3260"/>
<p2 x="-1440" y="-3260"/>
</wire>
<wire>
<p1 x="-1320" y="-3260"/>
<p2 x="-1280" y="-3260"/>
</wire>
<wire>
<p1 x="320" y="-3260"/>
<p2 x="400" y="-3260"/>
</wire>
<wire>
<p1 x="-340" y="-3260"/>
<p2 x="-320" y="-3260"/>
</wire>
<wire>
<p1 x="-640" y="-3260"/>
<p2 x="-520" y="-3260"/>
</wire>
<wire>
<p1 x="1200" y="-3260"/>
<p2 x="1320" y="-3260"/>
</wire>
<wire>
<p1 x="-2200" y="-3260"/>
<p2 x="-2160" y="-3260"/>
</wire>
<wire>
<p1 x="-1720" y="-2500"/>
<p2 x="-1440" y="-2500"/>
</wire>
<wire>
<p1 x="-1260" y="-2500"/>
<p2 x="-1240" y="-2500"/>
</wire>
<wire>
<p1 x="-1340" y="-2500"/>
<p2 x="-1300" y="-2500"/>
</wire>
<wire>
<p1 x="-2220" y="-2500"/>
<p2 x="-2160" y="-2500"/>
</wire>
<wire>
<p1 x="-1320" y="-1480"/>
<p2 x="-1300" y="-1480"/>
</wire>
<wire>
<p1 x="-1300" y="-1480"/>
<p2 x="-1260" y="-1480"/>
</wire>
<wire>
<p1 x="-1680" y="-2760"/>
<p2 x="-1440" y="-2760"/>
</wire>
<wire>
<p1 x="-1280" y="-2760"/>
<p2 x="-1260" y="-2760"/>
</wire>
<wire>
<p1 x="-800" y="-2760"/>
<p2 x="-520" y="-2760"/>
</wire>
<wire>
<p1 x="-420" y="-2760"/>
<p2 x="-380" y="-2760"/>
</wire>
<wire>
<p1 x="-1320" y="-3020"/>
<p2 x="-1280" y="-3020"/>
</wire>
<wire>
<p1 x="-1600" y="-3020"/>
<p2 x="-1440" y="-3020"/>
</wire>
<wire>
<p1 x="-340" y="-3020"/>
<p2 x="-320" y="-3020"/>
</wire>
<wire>
<p1 x="-740" y="-3020"/>
<p2 x="-520" y="-3020"/>
</wire>
<wire>
<p1 x="300" y="-3020"/>
<p2 x="400" y="-3020"/>
</wire>
<wire>
<p1 x="0" y="-1740"/>
<p2 x="20" y="-1740"/>
</wire>
<wire>
<p1 x="-740" y="-1740"/>
<p2 x="-720" y="-1740"/>
</wire>
<wire>
<p1 x="-360" y="-1740"/>
<p2 x="-340" y="-1740"/>
</wire>
<wire>
<p1 x="600" y="-1740"/>
<p2 x="680" y="-1740"/>
</wire>
<wire>
<p1 x="760" y="-1740"/>
<p2 x="840" y="-1740"/>
</wire>
<wire>
<p1 x="920" y="-1740"/>
<p2 x="1000" y="-1740"/>
</wire>
<wire>
<p1 x="860" y="-2000"/>
<p2 x="880" y="-2000"/>
</wire>
<wire>
<p1 x="760" y="-2000"/>
<p2 x="800" y="-2000"/>
</wire>
<wire>
<p1 x="1160" y="-2000"/>
<p2 x="1180" y="-2000"/>
</wire>
<wire>
<p1 x="1060" y="-2000"/>
<p2 x="1100" y="-2000"/>
</wire>
<wire>
<p1 x="560" y="-2000"/>
<p2 x="580" y="-2000"/>
</wire>
<wire>
<p1 x="460" y="-2000"/>
<p2 x="500" y="-2000"/>
</wire>
<wire>
<p1 x="-2360" y="-3280"/>
<p2 x="-2280" y="-3280"/>
</wire>
<wire>
<p1 x="-2140" y="-3280"/>
<p2 x="-2120" y="-3280"/>
</wire>
<wire>
<p1 x="-400" y="-3280"/>
<p2 x="-360" y="-3280"/>
</wire>
<wire>
<p1 x="-600" y="-3280"/>
<p2 x="-520" y="-3280"/>
</wire>
<wire>
<p1 x="1240" y="-3280"/>
<p2 x="1320" y="-3280"/>
</wire>
<wire>
<p1 x="-1800" y="-2260"/>
<p2 x="-1440" y="-2260"/>
</wire>
<wire>
<p1 x="-120" y="-1240"/>
<p2 x="-100" y="-1240"/>
</wire>
<wire>
<p1 x="600" y="-1240"/>
<p2 x="760" y="-1240"/>
</wire>
<wire>
<p1 x="-100" y="-1240"/>
<p2 x="-80" y="-1240"/>
</wire>
<wire>
<p1 x="760" y="-1240"/>
<p2 x="920" y="-1240"/>
</wire>
<wire>
<p1 x="-1680" y="-2520"/>
<p2 x="-1440" y="-2520"/>
</wire>
<wire>
<p1 x="-180" y="-1500"/>
<p2 x="-140" y="-1500"/>
</wire>
<wire>
<p1 x="-540" y="-1500"/>
<p2 x="-520" y="-1500"/>
</wire>
<wire>
<p1 x="-1080" y="-1500"/>
<p2 x="-1060" y="-1500"/>
</wire>
<wire>
<p1 x="-1380" y="-2780"/>
<p2 x="-1340" y="-2780"/>
</wire>
<wire>
<p1 x="-760" y="-2780"/>
<p2 x="-520" y="-2780"/>
</wire>
<wire>
<p1 x="-360" y="-2780"/>
<p2 x="-340" y="-2780"/>
</wire>
<wire>
<p1 x="-1340" y="-2780"/>
<p2 x="-1300" y="-2780"/>
</wire>
<wire>
<p1 x="80" y="-1760"/>
<p2 x="100" y="-1760"/>
</wire>
<wire>
<p1 x="-340" y="-1760"/>
<p2 x="-320" y="-1760"/>
</wire>
<wire>
<p1 x="-720" y="-1760"/>
<p2 x="-700" y="-1760"/>
</wire>
<wire>
<p1 x="-1260" y="-3040"/>
<p2 x="-1240" y="-3040"/>
</wire>
<wire>
<p1 x="-1580" y="-3040"/>
<p2 x="-1440" y="-3040"/>
</wire>
<wire>
<p1 x="-400" y="-3040"/>
<p2 x="-360" y="-3040"/>
</wire>
<wire>
<p1 x="-700" y="-3040"/>
<p2 x="-520" y="-3040"/>
</wire>
<wire>
<p1 x="-780" y="-2020"/>
<p2 x="-760" y="-2020"/>
</wire>
<wire>
<p1 x="-660" y="-2020"/>
<p2 x="-640" y="-2020"/>
</wire>
<wire>
<p1 x="780" y="-2020"/>
<p2 x="800" y="-2020"/>
</wire>
<wire>
<p1 x="1080" y="-2020"/>
<p2 x="1100" y="-2020"/>
</wire>
<wire>
<p1 x="480" y="-2020"/>
<p2 x="500" y="-2020"/>
</wire>
<wire>
<p1 x="-2200" y="-3300"/>
<p2 x="-2160" y="-3300"/>
</wire>
<wire>
<p1 x="-260" y="-2280"/>
<p2 x="-120" y="-2280"/>
</wire>
<wire>
<p1 x="-1760" y="-2280"/>
<p2 x="-1440" y="-2280"/>
</wire>
<wire>
<p1 x="520" y="-2280"/>
<p2 x="540" y="-2280"/>
</wire>
<wire>
<p1 x="820" y="-2280"/>
<p2 x="840" y="-2280"/>
</wire>
<wire>
<p1 x="1120" y="-2280"/>
<p2 x="1140" y="-2280"/>
</wire>
<wire>
<p1 x="620" y="-1260"/>
<p2 x="780" y="-1260"/>
</wire>
<wire>
<p1 x="780" y="-1260"/>
<p2 x="940" y="-1260"/>
</wire>
<wire>
<p1 x="-2420" y="-2540"/>
<p2 x="-2280" y="-2540"/>
</wire>
<wire>
<p1 x="-1380" y="-2540"/>
<p2 x="-1320" y="-2540"/>
</wire>
<wire>
<p1 x="-1320" y="-2540"/>
<p2 x="-1280" y="-2540"/>
</wire>
<wire>
<p1 x="-20" y="-1520"/>
<p2 x="20" y="-1520"/>
</wire>
<wire>
<p1 x="-1640" y="-2800"/>
<p2 x="-1440" y="-2800"/>
</wire>
<wire>
<p1 x="-460" y="-2800"/>
<p2 x="-420" y="-2800"/>
</wire>
<wire>
<p1 x="-420" y="-2800"/>
<p2 x="-380" y="-2800"/>
</wire>
<wire>
<p1 x="-20" y="-1780"/>
<p2 x="20" y="-1780"/>
</wire>
<wire>
<p1 x="-360" y="-1780"/>
<p2 x="-320" y="-1780"/>
</wire>
<wire>
<p1 x="-260" y="-1780"/>
<p2 x="-240" y="-1780"/>
</wire>
<wire>
<p1 x="-640" y="-1780"/>
<p2 x="-620" y="-1780"/>
</wire>
<wire>
<p1 x="-740" y="-1780"/>
<p2 x="-700" y="-1780"/>
</wire>
<wire>
<p1 x="-1320" y="-3060"/>
<p2 x="-1280" y="-3060"/>
</wire>
<wire>
<p1 x="-1540" y="-3060"/>
<p2 x="-1440" y="-3060"/>
</wire>
<wire>
<p1 x="-340" y="-3060"/>
<p2 x="-320" y="-3060"/>
</wire>
<wire>
<p1 x="-640" y="-3060"/>
<p2 x="-520" y="-3060"/>
</wire>
<wire>
<p1 x="-760" y="-2040"/>
<p2 x="-740" y="-2040"/>
</wire>
<wire>
<p1 x="460" y="-2040"/>
<p2 x="480" y="-2040"/>
</wire>
<wire>
<p1 x="760" y="-2040"/>
<p2 x="780" y="-2040"/>
</wire>
<wire>
<p1 x="1040" y="-2040"/>
<p2 x="1080" y="-2040"/>
</wire>
<wire>
<p1 x="-2260" y="-2300"/>
<p2 x="-2240" y="-2300"/>
</wire>
<wire>
<p1 x="-2200" y="-2300"/>
<p2 x="-2180" y="-2300"/>
</wire>
<wire>
<p1 x="-2040" y="-2300"/>
<p2 x="-2020" y="-2300"/>
</wire>
<wire>
<p1 x="-1720" y="-2300"/>
<p2 x="-1440" y="-2300"/>
</wire>
<wire>
<p1 x="-1260" y="-2300"/>
<p2 x="-1240" y="-2300"/>
</wire>
<wire>
<p1 x="-1340" y="-2300"/>
<p2 x="-1300" y="-2300"/>
</wire>
<wire>
<p1 x="-100" y="-1280"/>
<p2 x="-40" y="-1280"/>
</wire>
<wire>
<p1 x="-1640" y="-2560"/>
<p2 x="-1440" y="-2560"/>
</wire>
<wire>
<p1 x="-1260" y="-2560"/>
<p2 x="-1240" y="-2560"/>
</wire>
<wire>
<p1 x="-2220" y="-2560"/>
<p2 x="-2160" y="-2560"/>
</wire>
<wire>
<p1 x="-1540" y="-3500"/>
<p2 x="-1540" y="-3480"/>
</wire>
<wire>
<p1 x="-1540" y="-3440"/>
<p2 x="-1540" y="-3420"/>
</wire>
<wire>
<p1 x="-1540" y="-3360"/>
<p2 x="-1540" y="-3340"/>
</wire>
<wire>
<p1 x="-1540" y="-2220"/>
<p2 x="-1540" y="-1960"/>
</wire>
<wire>
<p1 x="-1540" y="-2620"/>
<p2 x="-1540" y="-2220"/>
</wire>
<wire>
<p1 x="-1540" y="-3060"/>
<p2 x="-1540" y="-2620"/>
</wire>
<wire>
<p1 x="-1540" y="-3340"/>
<p2 x="-1540" y="-3060"/>
</wire>
<wire>
<p1 x="-1540" y="-3420"/>
<p2 x="-1540" y="-3380"/>
</wire>
<wire>
<p1 x="260" y="-3360"/>
<p2 x="260" y="-3340"/>
</wire>
<wire>
<p1 x="260" y="-3340"/>
<p2 x="260" y="-3000"/>
</wire>
<wire>
<p1 x="260" y="-3000"/>
<p2 x="260" y="-2720"/>
</wire>
<wire>
<p1 x="260" y="-2720"/>
<p2 x="260" y="-2480"/>
</wire>
<wire>
<p1 x="-1800" y="-3340"/>
<p2 x="-1800" y="-3320"/>
</wire>
<wire>
<p1 x="-1800" y="-3280"/>
<p2 x="-1800" y="-3100"/>
</wire>
<wire>
<p1 x="-1800" y="-2060"/>
<p2 x="-1800" y="-1960"/>
</wire>
<wire>
<p1 x="-1800" y="-2260"/>
<p2 x="-1800" y="-2060"/>
</wire>
<wire>
<p1 x="-1800" y="-2460"/>
<p2 x="-1800" y="-2260"/>
</wire>
<wire>
<p1 x="-1800" y="-2700"/>
<p2 x="-1800" y="-2460"/>
</wire>
<wire>
<p1 x="-1800" y="-2900"/>
<p2 x="-1800" y="-2700"/>
</wire>
<wire>
<p1 x="-1800" y="-3100"/>
<p2 x="-1800" y="-2900"/>
</wire>
<wire>
<p1 x="-520" y="-2180"/>
<p2 x="-520" y="-2160"/>
</wire>
<wire>
<p1 x="-780" y="-3360"/>
<p2 x="-780" y="-2640"/>
</wire>
<wire>
<p1 x="780" y="-1720"/>
<p2 x="780" y="-1400"/>
</wire>
<wire>
<p1 x="780" y="-1300"/>
<p2 x="780" y="-1260"/>
</wire>
<wire>
<p1 x="780" y="-2100"/>
<p2 x="780" y="-2040"/>
</wire>
<wire>
<p1 x="780" y="-1980"/>
<p2 x="780" y="-1960"/>
</wire>
<wire>
<p1 x="780" y="-2040"/>
<p2 x="780" y="-2020"/>
</wire>
<wire>
<p1 x="780" y="-1960"/>
<p2 x="780" y="-1940"/>
</wire>
<wire>
<p1 x="1040" y="-1520"/>
<p2 x="1040" y="-1400"/>
</wire>
<wire>
<p1 x="1040" y="-1700"/>
<p2 x="1040" y="-1660"/>
</wire>
<wire>
<p1 x="1040" y="-1380"/>
<p2 x="1040" y="-1320"/>
</wire>
<wire>
<p1 x="1040" y="-3360"/>
<p2 x="1040" y="-3340"/>
</wire>
<wire>
<p1 x="1040" y="-3300"/>
<p2 x="1040" y="-3160"/>
</wire>
<wire>
<p1 x="1040" y="-3160"/>
<p2 x="1040" y="-2860"/>
</wire>
<wire>
<p1 x="1040" y="-2860"/>
<p2 x="1040" y="-2700"/>
</wire>
<wire>
<p1 x="-1300" y="-1480"/>
<p2 x="-1300" y="-1460"/>
</wire>
<wire>
<p1 x="20" y="-3360"/>
<p2 x="20" y="-3340"/>
</wire>
<wire>
<p1 x="20" y="-3340"/>
<p2 x="20" y="-2480"/>
</wire>
<wire>
<p1 x="-1560" y="-3340"/>
<p2 x="-1560" y="-3320"/>
</wire>
<wire>
<p1 x="-1560" y="-3280"/>
<p2 x="-1560" y="-2840"/>
</wire>
<wire>
<p1 x="-1560" y="-2200"/>
<p2 x="-1560" y="-1960"/>
</wire>
<wire>
<p1 x="-1560" y="-2400"/>
<p2 x="-1560" y="-2200"/>
</wire>
<wire>
<p1 x="-1560" y="-2840"/>
<p2 x="-1560" y="-2400"/>
</wire>
<wire>
<p1 x="280" y="-3340"/>
<p2 x="280" y="-3320"/>
</wire>
<wire>
<p1 x="280" y="-3280"/>
<p2 x="280" y="-3240"/>
</wire>
<wire>
<p1 x="280" y="-3240"/>
<p2 x="280" y="-2480"/>
</wire>
<wire>
<p1 x="-1820" y="-3360"/>
<p2 x="-1820" y="-3340"/>
</wire>
<wire>
<p1 x="-1820" y="-3340"/>
<p2 x="-1820" y="-1960"/>
</wire>
<wire>
<p1 x="-800" y="-3360"/>
<p2 x="-800" y="-3340"/>
</wire>
<wire>
<p1 x="-800" y="-3300"/>
<p2 x="-800" y="-3160"/>
</wire>
<wire>
<p1 x="-800" y="-2760"/>
<p2 x="-800" y="-2640"/>
</wire>
<wire>
<p1 x="-800" y="-2960"/>
<p2 x="-800" y="-2760"/>
</wire>
<wire>
<p1 x="-800" y="-3160"/>
<p2 x="-800" y="-2960"/>
</wire>
<wire>
<p1 x="800" y="-1700"/>
<p2 x="800" y="-1400"/>
</wire>
<wire>
<p1 x="1060" y="-1520"/>
<p2 x="1060" y="-1460"/>
</wire>
<wire>
<p1 x="1060" y="-1680"/>
<p2 x="1060" y="-1660"/>
</wire>
<wire>
<p1 x="1060" y="-2120"/>
<p2 x="1060" y="-2100"/>
</wire>
<wire>
<p1 x="1060" y="-3360"/>
<p2 x="1060" y="-2700"/>
</wire>
<wire>
<p1 x="-1320" y="-3260"/>
<p2 x="-1320" y="-3220"/>
</wire>
<wire>
<p1 x="-1320" y="-3060"/>
<p2 x="-1320" y="-3020"/>
</wire>
<wire>
<p1 x="-1320" y="-2620"/>
<p2 x="-1320" y="-2580"/>
</wire>
<wire>
<p1 x="-1320" y="-2420"/>
<p2 x="-1320" y="-2380"/>
</wire>
<wire>
<p1 x="-1320" y="-2380"/>
<p2 x="-1320" y="-2340"/>
</wire>
<wire>
<p1 x="-1320" y="-2580"/>
<p2 x="-1320" y="-2540"/>
</wire>
<wire>
<p1 x="-1320" y="-3020"/>
<p2 x="-1320" y="-2980"/>
</wire>
<wire>
<p1 x="-1320" y="-3220"/>
<p2 x="-1320" y="-3180"/>
</wire>
<wire>
<p1 x="40" y="-1360"/>
<p2 x="40" y="-1320"/>
</wire>
<wire>
<p1 x="40" y="-3340"/>
<p2 x="40" y="-3320"/>
</wire>
<wire>
<p1 x="40" y="-3280"/>
<p2 x="40" y="-3100"/>
</wire>
<wire>
<p1 x="40" y="-2580"/>
<p2 x="40" y="-2480"/>
</wire>
<wire>
<p1 x="40" y="-2860"/>
<p2 x="40" y="-2580"/>
</wire>
<wire>
<p1 x="40" y="-3100"/>
<p2 x="40" y="-2860"/>
</wire>
<wire>
<p1 x="-1580" y="-3360"/>
<p2 x="-1580" y="-3340"/>
</wire>
<wire>
<p1 x="-1580" y="-2600"/>
<p2 x="-1580" y="-1960"/>
</wire>
<wire>
<p1 x="-1580" y="-3040"/>
<p2 x="-1580" y="-2600"/>
</wire>
<wire>
<p1 x="-1580" y="-3240"/>
<p2 x="-1580" y="-3040"/>
</wire>
<wire>
<p1 x="-1580" y="-3340"/>
<p2 x="-1580" y="-3240"/>
</wire>
<wire>
<p1 x="300" y="-3420"/>
<p2 x="300" y="-3380"/>
</wire>
<wire>
<p1 x="300" y="-3360"/>
<p2 x="300" y="-3340"/>
</wire>
<wire>
<p1 x="300" y="-3020"/>
<p2 x="300" y="-2740"/>
</wire>
<wire>
<p1 x="300" y="-2740"/>
<p2 x="300" y="-2480"/>
</wire>
<wire>
<p1 x="300" y="-3340"/>
<p2 x="300" y="-3020"/>
</wire>
<wire>
<p1 x="-560" y="-2200"/>
<p2 x="-560" y="-2180"/>
</wire>
<wire>
<p1 x="-560" y="-2180"/>
<p2 x="-560" y="-2100"/>
</wire>
<wire>
<p1 x="560" y="-2120"/>
<p2 x="560" y="-2060"/>
</wire>
<wire>
<p1 x="560" y="-3000"/>
<p2 x="560" y="-2960"/>
</wire>
<wire>
<p1 x="560" y="-2960"/>
<p2 x="560" y="-2920"/>
</wire>
<wire>
<p1 x="560" y="-2920"/>
<p2 x="560" y="-2860"/>
</wire>
<wire>
<p1 x="-820" y="-3360"/>
<p2 x="-820" y="-2640"/>
</wire>
<wire>
<p1 x="820" y="-1680"/>
<p2 x="820" y="-1400"/>
</wire>
<wire>
<p1 x="-2360" y="-3360"/>
<p2 x="-2360" y="-3340"/>
</wire>
<wire>
<p1 x="-2360" y="-3300"/>
<p2 x="-2360" y="-3280"/>
</wire>
<wire>
<p1 x="-2360" y="-2680"/>
<p2 x="-2360" y="-2500"/>
</wire>
<wire>
<p1 x="-2360" y="-3280"/>
<p2 x="-2360" y="-2680"/>
</wire>
<wire>
<p1 x="1080" y="-2100"/>
<p2 x="1080" y="-2040"/>
</wire>
<wire>
<p1 x="1080" y="-1980"/>
<p2 x="1080" y="-1960"/>
</wire>
<wire>
<p1 x="1080" y="-3360"/>
<p2 x="1080" y="-3340"/>
</wire>
<wire>
<p1 x="1080" y="-3300"/>
<p2 x="1080" y="-3180"/>
</wire>
<wire>
<p1 x="1080" y="-2040"/>
<p2 x="1080" y="-2020"/>
</wire>
<wire>
<p1 x="1080" y="-1960"/>
<p2 x="1080" y="-1940"/>
</wire>
<wire>
<p1 x="1080" y="-3180"/>
<p2 x="1080" y="-2880"/>
</wire>
<wire>
<p1 x="1080" y="-2880"/>
<p2 x="1080" y="-2700"/>
</wire>
<wire>
<p1 x="-1340" y="-2780"/>
<p2 x="-1340" y="-2740"/>
</wire>
<wire>
<p1 x="-1340" y="-2140"/>
<p2 x="-1340" y="-2100"/>
</wire>
<wire>
<p1 x="60" y="-3360"/>
<p2 x="60" y="-3340"/>
</wire>
<wire>
<p1 x="60" y="-3340"/>
<p2 x="60" y="-2480"/>
</wire>
<wire>
<p1 x="-1600" y="-3340"/>
<p2 x="-1600" y="-3320"/>
</wire>
<wire>
<p1 x="-1600" y="-3280"/>
<p2 x="-1600" y="-3220"/>
</wire>
<wire>
<p1 x="-1600" y="-2180"/>
<p2 x="-1600" y="-1960"/>
</wire>
<wire>
<p1 x="-1600" y="-2380"/>
<p2 x="-1600" y="-2180"/>
</wire>
<wire>
<p1 x="-1600" y="-3020"/>
<p2 x="-1600" y="-2380"/>
</wire>
<wire>
<p1 x="-1600" y="-3220"/>
<p2 x="-1600" y="-3020"/>
</wire>
<wire>
<p1 x="320" y="-3340"/>
<p2 x="320" y="-3320"/>
</wire>
<wire>
<p1 x="320" y="-3280"/>
<p2 x="320" y="-3260"/>
</wire>
<wire>
<p1 x="320" y="-3260"/>
<p2 x="320" y="-2480"/>
</wire>
<wire>
<p1 x="-580" y="-1440"/>
<p2 x="-580" y="-1420"/>
</wire>
<wire>
<p1 x="-580" y="-1380"/>
<p2 x="-580" y="-1340"/>
</wire>
<wire>
<p1 x="580" y="-2040"/>
<p2 x="580" y="-2000"/>
</wire>
<wire>
<p1 x="580" y="-2100"/>
<p2 x="580" y="-2080"/>
</wire>
<wire>
<p1 x="580" y="-2000"/>
<p2 x="580" y="-1960"/>
</wire>
<wire>
<p1 x="-840" y="-3360"/>
<p2 x="-840" y="-3340"/>
</wire>
<wire>
<p1 x="-840" y="-3300"/>
<p2 x="-840" y="-3140"/>
</wire>
<wire>
<p1 x="-840" y="-2740"/>
<p2 x="-840" y="-2640"/>
</wire>
<wire>
<p1 x="-840" y="-2940"/>
<p2 x="-840" y="-2740"/>
</wire>
<wire>
<p1 x="-840" y="-3140"/>
<p2 x="-840" y="-2940"/>
</wire>
<wire>
<p1 x="840" y="-1520"/>
<p2 x="840" y="-1400"/>
</wire>
<wire>
<p1 x="840" y="-1740"/>
<p2 x="840" y="-1660"/>
</wire>
<wire>
<p1 x="-2380" y="-3460"/>
<p2 x="-2380" y="-3400"/>
</wire>
<wire>
<p1 x="-2380" y="-3380"/>
<p2 x="-2380" y="-3360"/>
</wire>
<wire>
<p1 x="-2380" y="-2580"/>
<p2 x="-2380" y="-2500"/>
</wire>
<wire>
<p1 x="-2380" y="-2860"/>
<p2 x="-2380" y="-2580"/>
</wire>
<wire>
<p1 x="-2380" y="-3360"/>
<p2 x="-2380" y="-2860"/>
</wire>
<wire>
<p1 x="1100" y="-3360"/>
<p2 x="1100" y="-2700"/>
</wire>
<wire>
<p1 x="-80" y="-1240"/>
<p2 x="-80" y="-1220"/>
</wire>
<wire>
<p1 x="-80" y="-1420"/>
<p2 x="-80" y="-1360"/>
</wire>
<wire>
<p1 x="80" y="-3340"/>
<p2 x="80" y="-3320"/>
</wire>
<wire>
<p1 x="80" y="-3280"/>
<p2 x="80" y="-3120"/>
</wire>
<wire>
<p1 x="80" y="-2600"/>
<p2 x="80" y="-2480"/>
</wire>
<wire>
<p1 x="80" y="-2880"/>
<p2 x="80" y="-2600"/>
</wire>
<wire>
<p1 x="80" y="-3120"/>
<p2 x="80" y="-2880"/>
</wire>
<wire>
<p1 x="-1620" y="-3360"/>
<p2 x="-1620" y="-3340"/>
</wire>
<wire>
<p1 x="-1620" y="-3340"/>
<p2 x="-1620" y="-2820"/>
</wire>
<wire>
<p1 x="-1620" y="-2580"/>
<p2 x="-1620" y="-1960"/>
</wire>
<wire>
<p1 x="-1620" y="-2820"/>
<p2 x="-1620" y="-2580"/>
</wire>
<wire>
<p1 x="-340" y="-1760"/>
<p2 x="-340" y="-1740"/>
</wire>
<wire>
<p1 x="-340" y="-1820"/>
<p2 x="-340" y="-1800"/>
</wire>
<wire>
<p1 x="-340" y="-1740"/>
<p2 x="-340" y="-1720"/>
</wire>
<wire>
<p1 x="-600" y="-3360"/>
<p2 x="-600" y="-3340"/>
</wire>
<wire>
<p1 x="-600" y="-3300"/>
<p2 x="-600" y="-3280"/>
</wire>
<wire>
<p1 x="-600" y="-2880"/>
<p2 x="-600" y="-2640"/>
</wire>
<wire>
<p1 x="-600" y="-3280"/>
<p2 x="-600" y="-2880"/>
</wire>
<wire>
<p1 x="600" y="-1740"/>
<p2 x="600" y="-1400"/>
</wire>
<wire>
<p1 x="600" y="-1340"/>
<p2 x="600" y="-1240"/>
</wire>
<wire>
<p1 x="-860" y="-3360"/>
<p2 x="-860" y="-2640"/>
</wire>
<wire>
<p1 x="860" y="-1720"/>
<p2 x="860" y="-1660"/>
</wire>
<wire>
<p1 x="860" y="-1520"/>
<p2 x="860" y="-1400"/>
</wire>
<wire>
<p1 x="860" y="-2120"/>
<p2 x="860" y="-2060"/>
</wire>
<wire>
<p1 x="-2400" y="-3360"/>
<p2 x="-2400" y="-3340"/>
</wire>
<wire>
<p1 x="-2400" y="-2340"/>
<p2 x="-2400" y="-2320"/>
</wire>
<wire>
<p1 x="-2400" y="-3300"/>
<p2 x="-2400" y="-3240"/>
</wire>
<wire>
<p1 x="-2400" y="-2820"/>
<p2 x="-2400" y="-2500"/>
</wire>
<wire>
<p1 x="-2400" y="-3240"/>
<p2 x="-2400" y="-2820"/>
</wire>
<wire>
<p1 x="1120" y="-3360"/>
<p2 x="1120" y="-3340"/>
</wire>
<wire>
<p1 x="1120" y="-3300"/>
<p2 x="1120" y="-3220"/>
</wire>
<wire>
<p1 x="1120" y="-3220"/>
<p2 x="1120" y="-2920"/>
</wire>
<wire>
<p1 x="1120" y="-2920"/>
<p2 x="1120" y="-2700"/>
</wire>
<wire>
<p1 x="-100" y="-1280"/>
<p2 x="-100" y="-1240"/>
</wire>
<wire>
<p1 x="100" y="-1820"/>
<p2 x="100" y="-1760"/>
</wire>
<wire>
<p1 x="100" y="-3360"/>
<p2 x="100" y="-3340"/>
</wire>
<wire>
<p1 x="100" y="-3140"/>
<p2 x="100" y="-2900"/>
</wire>
<wire>
<p1 x="100" y="-2900"/>
<p2 x="100" y="-2620"/>
</wire>
<wire>
<p1 x="100" y="-3340"/>
<p2 x="100" y="-3140"/>
</wire>
<wire>
<p1 x="100" y="-2620"/>
<p2 x="100" y="-2480"/>
</wire>
<wire>
<p1 x="-360" y="-3200"/>
<p2 x="-360" y="-3180"/>
</wire>
<wire>
<p1 x="-360" y="-3000"/>
<p2 x="-360" y="-2980"/>
</wire>
<wire>
<p1 x="-1640" y="-3340"/>
<p2 x="-1640" y="-3320"/>
</wire>
<wire>
<p1 x="-1640" y="-3280"/>
<p2 x="-1640" y="-3200"/>
</wire>
<wire>
<p1 x="-1640" y="-2560"/>
<p2 x="-1640" y="-1960"/>
</wire>
<wire>
<p1 x="-1640" y="-2800"/>
<p2 x="-1640" y="-2560"/>
</wire>
<wire>
<p1 x="-1640" y="-3000"/>
<p2 x="-1640" y="-2800"/>
</wire>
<wire>
<p1 x="-1640" y="-3200"/>
<p2 x="-1640" y="-3000"/>
</wire>
<wire>
<p1 x="-620" y="-3420"/>
<p2 x="-620" y="-3380"/>
</wire>
<wire>
<p1 x="-620" y="-3360"/>
<p2 x="-620" y="-3080"/>
</wire>
<wire>
<p1 x="-620" y="-3080"/>
<p2 x="-620" y="-2640"/>
</wire>
<wire>
<p1 x="620" y="-1720"/>
<p2 x="620" y="-1400"/>
</wire>
<wire>
<p1 x="620" y="-1300"/>
<p2 x="620" y="-1260"/>
</wire>
<wire>
<p1 x="-880" y="-3360"/>
<p2 x="-880" y="-3340"/>
</wire>
<wire>
<p1 x="-880" y="-3300"/>
<p2 x="-880" y="-3120"/>
</wire>
<wire>
<p1 x="-880" y="-2720"/>
<p2 x="-880" y="-2640"/>
</wire>
<wire>
<p1 x="-880" y="-2920"/>
<p2 x="-880" y="-2720"/>
</wire>
<wire>
<p1 x="-880" y="-3120"/>
<p2 x="-880" y="-2920"/>
</wire>
<wire>
<p1 x="-2160" y="-2560"/>
<p2 x="-2160" y="-2500"/>
</wire>
<wire>
<p1 x="880" y="-1520"/>
<p2 x="880" y="-1400"/>
</wire>
<wire>
<p1 x="880" y="-1700"/>
<p2 x="880" y="-1660"/>
</wire>
<wire>
<p1 x="880" y="-1380"/>
<p2 x="880" y="-1320"/>
</wire>
<wire>
<p1 x="880" y="-2040"/>
<p2 x="880" y="-2000"/>
</wire>
<wire>
<p1 x="880" y="-2100"/>
<p2 x="880" y="-2080"/>
</wire>
<wire>
<p1 x="880" y="-2000"/>
<p2 x="880" y="-1960"/>
</wire>
<wire>
<p1 x="-2420" y="-2360"/>
<p2 x="-2420" y="-2340"/>
</wire>
<wire>
<p1 x="-2420" y="-3380"/>
<p2 x="-2420" y="-3360"/>
</wire>
<wire>
<p1 x="-2420" y="-3360"/>
<p2 x="-2420" y="-2720"/>
</wire>
<wire>
<p1 x="-2420" y="-2540"/>
<p2 x="-2420" y="-2500"/>
</wire>
<wire>
<p1 x="-2420" y="-2720"/>
<p2 x="-2420" y="-2540"/>
</wire>
<wire>
<p1 x="1140" y="-3360"/>
<p2 x="1140" y="-2700"/>
</wire>
<wire>
<p1 x="120" y="-3340"/>
<p2 x="120" y="-3320"/>
</wire>
<wire>
<p1 x="120" y="-3280"/>
<p2 x="120" y="-2480"/>
</wire>
<wire>
<p1 x="-1660" y="-3360"/>
<p2 x="-1660" y="-3340"/>
</wire>
<wire>
<p1 x="-1660" y="-2160"/>
<p2 x="-1660" y="-1960"/>
</wire>
<wire>
<p1 x="-1660" y="-2360"/>
<p2 x="-1660" y="-2160"/>
</wire>
<wire>
<p1 x="-1660" y="-3340"/>
<p2 x="-1660" y="-2360"/>
</wire>
<wire>
<p1 x="-1920" y="-3460"/>
<p2 x="-1920" y="-2700"/>
</wire>
<wire>
<p1 x="-640" y="-3360"/>
<p2 x="-640" y="-3340"/>
</wire>
<wire>
<p1 x="-640" y="-3300"/>
<p2 x="-640" y="-3260"/>
</wire>
<wire>
<p1 x="-640" y="-3060"/>
<p2 x="-640" y="-2640"/>
</wire>
<wire>
<p1 x="-640" y="-3260"/>
<p2 x="-640" y="-3060"/>
</wire>
<wire>
<p1 x="640" y="-1700"/>
<p2 x="640" y="-1400"/>
</wire>
<wire>
<p1 x="-900" y="-3360"/>
<p2 x="-900" y="-2640"/>
</wire>
<wire>
<p1 x="900" y="-1520"/>
<p2 x="900" y="-1460"/>
</wire>
<wire>
<p1 x="900" y="-1680"/>
<p2 x="900" y="-1660"/>
</wire>
<wire>
<p1 x="1160" y="-2120"/>
<p2 x="1160" y="-2060"/>
</wire>
<wire>
<p1 x="1160" y="-3360"/>
<p2 x="1160" y="-3340"/>
</wire>
<wire>
<p1 x="1160" y="-3300"/>
<p2 x="1160" y="-3240"/>
</wire>
<wire>
<p1 x="1160" y="-2940"/>
<p2 x="1160" y="-2700"/>
</wire>
<wire>
<p1 x="1160" y="-3240"/>
<p2 x="1160" y="-2940"/>
</wire>
<wire>
<p1 x="140" y="-3360"/>
<p2 x="140" y="-3340"/>
</wire>
<wire>
<p1 x="140" y="-3340"/>
<p2 x="140" y="-2640"/>
</wire>
<wire>
<p1 x="140" y="-2640"/>
<p2 x="140" y="-2480"/>
</wire>
<wire>
<p1 x="1420" y="-3220"/>
<p2 x="1420" y="-3200"/>
</wire>
<wire>
<p1 x="1420" y="-2920"/>
<p2 x="1420" y="-2900"/>
</wire>
<wire>
<p1 x="1420" y="-2900"/>
<p2 x="1420" y="-2880"/>
</wire>
<wire>
<p1 x="1420" y="-3200"/>
<p2 x="1420" y="-3160"/>
</wire>
<wire>
<p1 x="-400" y="-3280"/>
<p2 x="-400" y="-3240"/>
</wire>
<wire>
<p1 x="-400" y="-3080"/>
<p2 x="-400" y="-3040"/>
</wire>
<wire>
<p1 x="-400" y="-3040"/>
<p2 x="-400" y="-3000"/>
</wire>
<wire>
<p1 x="-400" y="-3240"/>
<p2 x="-400" y="-3200"/>
</wire>
<wire>
<p1 x="-1680" y="-3340"/>
<p2 x="-1680" y="-3320"/>
</wire>
<wire>
<p1 x="-1680" y="-3280"/>
<p2 x="-1680" y="-3160"/>
</wire>
<wire>
<p1 x="-1680" y="-2120"/>
<p2 x="-1680" y="-1960"/>
</wire>
<wire>
<p1 x="-1680" y="-2320"/>
<p2 x="-1680" y="-2120"/>
</wire>
<wire>
<p1 x="-1680" y="-2520"/>
<p2 x="-1680" y="-2320"/>
</wire>
<wire>
<p1 x="-1680" y="-2760"/>
<p2 x="-1680" y="-2520"/>
</wire>
<wire>
<p1 x="-1680" y="-2960"/>
<p2 x="-1680" y="-2760"/>
</wire>
<wire>
<p1 x="-1680" y="-3160"/>
<p2 x="-1680" y="-2960"/>
</wire>
<wire>
<p1 x="-660" y="-3360"/>
<p2 x="-660" y="-2860"/>
</wire>
<wire>
<p1 x="-660" y="-2060"/>
<p2 x="-660" y="-2020"/>
</wire>
<wire>
<p1 x="-660" y="-2860"/>
<p2 x="-660" y="-2640"/>
</wire>
<wire>
<p1 x="660" y="-1680"/>
<p2 x="660" y="-1400"/>
</wire>
<wire>
<p1 x="-2200" y="-2880"/>
<p2 x="-2200" y="-2840"/>
</wire>
<wire>
<p1 x="-2200" y="-3300"/>
<p2 x="-2200" y="-3260"/>
</wire>
<wire>
<p1 x="-2200" y="-3220"/>
<p2 x="-2200" y="-3180"/>
</wire>
<wire>
<p1 x="-2200" y="-3260"/>
<p2 x="-2200" y="-3220"/>
</wire>
<wire>
<p1 x="920" y="-1340"/>
<p2 x="920" y="-1240"/>
</wire>
<wire>
<p1 x="920" y="-1740"/>
<p2 x="920" y="-1400"/>
</wire>
<wire>
<p1 x="-2460" y="-2420"/>
<p2 x="-2460" y="-2400"/>
</wire>
<wire>
<p1 x="1180" y="-2040"/>
<p2 x="1180" y="-2000"/>
</wire>
<wire>
<p1 x="1180" y="-2100"/>
<p2 x="1180" y="-2080"/>
</wire>
<wire>
<p1 x="1180" y="-3360"/>
<p2 x="1180" y="-2700"/>
</wire>
<wire>
<p1 x="1180" y="-2000"/>
<p2 x="1180" y="-1960"/>
</wire>
<wire>
<p1 x="-160" y="-1340"/>
<p2 x="-160" y="-1320"/>
</wire>
<wire>
<p1 x="-160" y="-1420"/>
<p2 x="-160" y="-1400"/>
</wire>
<wire>
<p1 x="160" y="-3340"/>
<p2 x="160" y="-3320"/>
</wire>
<wire>
<p1 x="160" y="-3280"/>
<p2 x="160" y="-3160"/>
</wire>
<wire>
<p1 x="160" y="-3160"/>
<p2 x="160" y="-2920"/>
</wire>
<wire>
<p1 x="160" y="-2920"/>
<p2 x="160" y="-2480"/>
</wire>
<wire>
<p1 x="-420" y="-2800"/>
<p2 x="-420" y="-2760"/>
</wire>
<wire>
<p1 x="-1700" y="-3360"/>
<p2 x="-1700" y="-3340"/>
</wire>
<wire>
<p1 x="-1700" y="-3340"/>
<p2 x="-1700" y="-1960"/>
</wire>
<wire>
<p1 x="-680" y="-3360"/>
<p2 x="-680" y="-3340"/>
</wire>
<wire>
<p1 x="-680" y="-3300"/>
<p2 x="-680" y="-2640"/>
</wire>
<wire>
<p1 x="680" y="-1520"/>
<p2 x="680" y="-1400"/>
</wire>
<wire>
<p1 x="680" y="-1740"/>
<p2 x="680" y="-1660"/>
</wire>
<wire>
<p1 x="-2220" y="-2500"/>
<p2 x="-2220" y="-2440"/>
</wire>
<wire>
<p1 x="-2220" y="-2440"/>
<p2 x="-2220" y="-2340"/>
</wire>
<wire>
<p1 x="940" y="-1300"/>
<p2 x="940" y="-1260"/>
</wire>
<wire>
<p1 x="940" y="-1720"/>
<p2 x="940" y="-1400"/>
</wire>
<wire>
<p1 x="940" y="-3360"/>
<p2 x="940" y="-2820"/>
</wire>
<wire>
<p1 x="940" y="-2820"/>
<p2 x="940" y="-2700"/>
</wire>
<wire>
<p1 x="-1200" y="-1320"/>
<p2 x="-1200" y="-1280"/>
</wire>
<wire>
<p1 x="1200" y="-3360"/>
<p2 x="1200" y="-3340"/>
</wire>
<wire>
<p1 x="1200" y="-3300"/>
<p2 x="1200" y="-3260"/>
</wire>
<wire>
<p1 x="1200" y="-3260"/>
<p2 x="1200" y="-2960"/>
</wire>
<wire>
<p1 x="1200" y="-2960"/>
<p2 x="1200" y="-2700"/>
</wire>
<wire>
<p1 x="180" y="-3360"/>
<p2 x="180" y="-3340"/>
</wire>
<wire>
<p1 x="180" y="-3340"/>
<p2 x="180" y="-2680"/>
</wire>
<wire>
<p1 x="180" y="-2680"/>
<p2 x="180" y="-2480"/>
</wire>
<wire>
<p1 x="-1720" y="-3340"/>
<p2 x="-1720" y="-3320"/>
</wire>
<wire>
<p1 x="-1720" y="-3280"/>
<p2 x="-1720" y="-3140"/>
</wire>
<wire>
<p1 x="-1720" y="-2100"/>
<p2 x="-1720" y="-1960"/>
</wire>
<wire>
<p1 x="-1720" y="-2300"/>
<p2 x="-1720" y="-2100"/>
</wire>
<wire>
<p1 x="-1720" y="-2500"/>
<p2 x="-1720" y="-2300"/>
</wire>
<wire>
<p1 x="-1720" y="-2740"/>
<p2 x="-1720" y="-2500"/>
</wire>
<wire>
<p1 x="-1720" y="-2940"/>
<p2 x="-1720" y="-2740"/>
</wire>
<wire>
<p1 x="-1720" y="-3140"/>
<p2 x="-1720" y="-2940"/>
</wire>
<wire>
<p1 x="-700" y="-1320"/>
<p2 x="-700" y="-1300"/>
</wire>
<wire>
<p1 x="-700" y="-3360"/>
<p2 x="-700" y="-3240"/>
</wire>
<wire>
<p1 x="-700" y="-3040"/>
<p2 x="-700" y="-2840"/>
</wire>
<wire>
<p1 x="-700" y="-3240"/>
<p2 x="-700" y="-3040"/>
</wire>
<wire>
<p1 x="-700" y="-2840"/>
<p2 x="-700" y="-2640"/>
</wire>
<wire>
<p1 x="700" y="-1720"/>
<p2 x="700" y="-1660"/>
</wire>
<wire>
<p1 x="700" y="-1520"/>
<p2 x="700" y="-1400"/>
</wire>
<wire>
<p1 x="960" y="-1700"/>
<p2 x="960" y="-1400"/>
</wire>
<wire>
<p1 x="960" y="-3360"/>
<p2 x="960" y="-3340"/>
</wire>
<wire>
<p1 x="960" y="-3300"/>
<p2 x="960" y="-3120"/>
</wire>
<wire>
<p1 x="960" y="-3120"/>
<p2 x="960" y="-2700"/>
</wire>
<wire>
<p1 x="1220" y="-3420"/>
<p2 x="1220" y="-3380"/>
</wire>
<wire>
<p1 x="1220" y="-3360"/>
<p2 x="1220" y="-2700"/>
</wire>
<wire>
<p1 x="200" y="-3340"/>
<p2 x="200" y="-3320"/>
</wire>
<wire>
<p1 x="200" y="-3280"/>
<p2 x="200" y="-3200"/>
</wire>
<wire>
<p1 x="200" y="-3200"/>
<p2 x="200" y="-2960"/>
</wire>
<wire>
<p1 x="200" y="-2960"/>
<p2 x="200" y="-2480"/>
</wire>
<wire>
<p1 x="-1740" y="-3360"/>
<p2 x="-1740" y="-3340"/>
</wire>
<wire>
<p1 x="-1740" y="-3340"/>
<p2 x="-1740" y="-1960"/>
</wire>
<wire>
<p1 x="460" y="-2120"/>
<p2 x="460" y="-2100"/>
</wire>
<wire>
<p1 x="460" y="-1320"/>
<p2 x="460" y="-1300"/>
</wire>
<wire>
<p1 x="460" y="-2900"/>
<p2 x="460" y="-2880"/>
</wire>
<wire>
<p1 x="460" y="-1300"/>
<p2 x="460" y="-1280"/>
</wire>
<wire>
<p1 x="-720" y="-3360"/>
<p2 x="-720" y="-3340"/>
</wire>
<wire>
<p1 x="-720" y="-3300"/>
<p2 x="-720" y="-2640"/>
</wire>
<wire>
<p1 x="-720" y="-1760"/>
<p2 x="-720" y="-1740"/>
</wire>
<wire>
<p1 x="-720" y="-1820"/>
<p2 x="-720" y="-1800"/>
</wire>
<wire>
<p1 x="-720" y="-1740"/>
<p2 x="-720" y="-1720"/>
</wire>
<wire>
<p1 x="720" y="-1520"/>
<p2 x="720" y="-1400"/>
</wire>
<wire>
<p1 x="720" y="-1380"/>
<p2 x="720" y="-1320"/>
</wire>
<wire>
<p1 x="720" y="-1700"/>
<p2 x="720" y="-1660"/>
</wire>
<wire>
<p1 x="-2260" y="-2400"/>
<p2 x="-2260" y="-2380"/>
</wire>
<wire>
<p1 x="-2260" y="-2380"/>
<p2 x="-2260" y="-2300"/>
</wire>
<wire>
<p1 x="980" y="-3360"/>
<p2 x="980" y="-3140"/>
</wire>
<wire>
<p1 x="980" y="-1680"/>
<p2 x="980" y="-1400"/>
</wire>
<wire>
<p1 x="980" y="-3140"/>
<p2 x="980" y="-2700"/>
</wire>
<wire>
<p1 x="-1240" y="-1340"/>
<p2 x="-1240" y="-1320"/>
</wire>
<wire>
<p1 x="-1240" y="-1680"/>
<p2 x="-1240" y="-1660"/>
</wire>
<wire>
<p1 x="-2520" y="-2420"/>
<p2 x="-2520" y="-2400"/>
</wire>
<wire>
<p1 x="1240" y="-3360"/>
<p2 x="1240" y="-3340"/>
</wire>
<wire>
<p1 x="1240" y="-3300"/>
<p2 x="1240" y="-3280"/>
</wire>
<wire>
<p1 x="1240" y="-3280"/>
<p2 x="1240" y="-2980"/>
</wire>
<wire>
<p1 x="1240" y="-2980"/>
<p2 x="1240" y="-2700"/>
</wire>
<wire>
<p1 x="-220" y="-2100"/>
<p2 x="-220" y="-2080"/>
</wire>
<wire>
<p1 x="220" y="-3360"/>
<p2 x="220" y="-3340"/>
</wire>
<wire>
<p1 x="220" y="-2700"/>
<p2 x="220" y="-2480"/>
</wire>
<wire>
<p1 x="220" y="-3340"/>
<p2 x="220" y="-2700"/>
</wire>
<wire>
<p1 x="-480" y="-1400"/>
<p2 x="-480" y="-1300"/>
</wire>
<wire>
<p1 x="-1760" y="-3340"/>
<p2 x="-1760" y="-3320"/>
</wire>
<wire>
<p1 x="-1760" y="-3280"/>
<p2 x="-1760" y="-3120"/>
</wire>
<wire>
<p1 x="-1760" y="-2920"/>
<p2 x="-1760" y="-2720"/>
</wire>
<wire>
<p1 x="-1760" y="-3120"/>
<p2 x="-1760" y="-2920"/>
</wire>
<wire>
<p1 x="-1760" y="-2080"/>
<p2 x="-1760" y="-1960"/>
</wire>
<wire>
<p1 x="-1760" y="-2280"/>
<p2 x="-1760" y="-2080"/>
</wire>
<wire>
<p1 x="-1760" y="-2480"/>
<p2 x="-1760" y="-2280"/>
</wire>
<wire>
<p1 x="-1760" y="-2720"/>
<p2 x="-1760" y="-2480"/>
</wire>
<wire>
<p1 x="480" y="-2100"/>
<p2 x="480" y="-2040"/>
</wire>
<wire>
<p1 x="480" y="-1980"/>
<p2 x="480" y="-1960"/>
</wire>
<wire>
<p1 x="480" y="-2040"/>
<p2 x="480" y="-2020"/>
</wire>
<wire>
<p1 x="480" y="-1960"/>
<p2 x="480" y="-1940"/>
</wire>
<wire>
<p1 x="-740" y="-1360"/>
<p2 x="-740" y="-1340"/>
</wire>
<wire>
<p1 x="-740" y="-3360"/>
<p2 x="-740" y="-3220"/>
</wire>
<wire>
<p1 x="-740" y="-2820"/>
<p2 x="-740" y="-2640"/>
</wire>
<wire>
<p1 x="-740" y="-3020"/>
<p2 x="-740" y="-2820"/>
</wire>
<wire>
<p1 x="-740" y="-3220"/>
<p2 x="-740" y="-3020"/>
</wire>
<wire>
<p1 x="740" y="-1520"/>
<p2 x="740" y="-1460"/>
</wire>
<wire>
<p1 x="740" y="-1680"/>
<p2 x="740" y="-1660"/>
</wire>
<wire>
<p1 x="1000" y="-3360"/>
<p2 x="1000" y="-3340"/>
</wire>
<wire>
<p1 x="1000" y="-3300"/>
<p2 x="1000" y="-2840"/>
</wire>
<wire>
<p1 x="1000" y="-1520"/>
<p2 x="1000" y="-1400"/>
</wire>
<wire>
<p1 x="1000" y="-1740"/>
<p2 x="1000" y="-1660"/>
</wire>
<wire>
<p1 x="1000" y="-2840"/>
<p2 x="1000" y="-2700"/>
</wire>
<wire>
<p1 x="-1260" y="-1480"/>
<p2 x="-1260" y="-1420"/>
</wire>
<wire>
<p1 x="-1520" y="-3340"/>
<p2 x="-1520" y="-3320"/>
</wire>
<wire>
<p1 x="-1520" y="-3280"/>
<p2 x="-1520" y="-3260"/>
</wire>
<wire>
<p1 x="-1520" y="-2420"/>
<p2 x="-1520" y="-1960"/>
</wire>
<wire>
<p1 x="-1520" y="-2860"/>
<p2 x="-1520" y="-2420"/>
</wire>
<wire>
<p1 x="-1520" y="-3260"/>
<p2 x="-1520" y="-2860"/>
</wire>
<wire>
<p1 x="240" y="-3340"/>
<p2 x="240" y="-3320"/>
</wire>
<wire>
<p1 x="240" y="-3280"/>
<p2 x="240" y="-3220"/>
</wire>
<wire>
<p1 x="240" y="-3220"/>
<p2 x="240" y="-2980"/>
</wire>
<wire>
<p1 x="240" y="-2980"/>
<p2 x="240" y="-2480"/>
</wire>
<wire>
<p1 x="-1780" y="-3360"/>
<p2 x="-1780" y="-3340"/>
</wire>
<wire>
<p1 x="-1780" y="-3340"/>
<p2 x="-1780" y="-1960"/>
</wire>
<wire>
<p1 x="500" y="-2680"/>
<p2 x="500" y="-2660"/>
</wire>
<wire>
<p1 x="500" y="-3240"/>
<p2 x="500" y="-3200"/>
</wire>
<wire>
<p1 x="500" y="-3200"/>
<p2 x="500" y="-3180"/>
</wire>
<wire>
<p1 x="500" y="-3180"/>
<p2 x="500" y="-3160"/>
</wire>
<wire>
<p1 x="500" y="-3160"/>
<p2 x="500" y="-3100"/>
</wire>
<wire>
<p1 x="-760" y="-3360"/>
<p2 x="-760" y="-3340"/>
</wire>
<wire>
<p1 x="-760" y="-2100"/>
<p2 x="-760" y="-2080"/>
</wire>
<wire>
<p1 x="-760" y="-2040"/>
<p2 x="-760" y="-2020"/>
</wire>
<wire>
<p1 x="-760" y="-3300"/>
<p2 x="-760" y="-3180"/>
</wire>
<wire>
<p1 x="-760" y="-3180"/>
<p2 x="-760" y="-2980"/>
</wire>
<wire>
<p1 x="-760" y="-2780"/>
<p2 x="-760" y="-2640"/>
</wire>
<wire>
<p1 x="-760" y="-2980"/>
<p2 x="-760" y="-2780"/>
</wire>
<wire>
<p1 x="760" y="-1740"/>
<p2 x="760" y="-1400"/>
</wire>
<wire>
<p1 x="760" y="-1340"/>
<p2 x="760" y="-1240"/>
</wire>
<wire>
<p1 x="760" y="-2120"/>
<p2 x="760" y="-2100"/>
</wire>
<wire>
<p1 x="1020" y="-3360"/>
<p2 x="1020" y="-2700"/>
</wire>
<wire>
<p1 x="1020" y="-1720"/>
<p2 x="1020" y="-1660"/>
</wire>
<wire>
<p1 x="1020" y="-1520"/>
<p2 x="1020" y="-1400"/>
</wire>
<wire>
<p1 x="-1280" y="-3180"/>
<p2 x="-1280" y="-3160"/>
</wire>
<wire>
<p1 x="-1280" y="-2980"/>
<p2 x="-1280" y="-2960"/>
</wire>
<wire>
<p1 x="-1280" y="-2540"/>
<p2 x="-1280" y="-2520"/>
</wire>
<wire>
<p1 x="-1280" y="-2340"/>
<p2 x="-1280" y="-2320"/>
</wire>
</wires>
<measurementOrdering/>
</circuit>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment