Skip to content

Instantly share code, notes, and snippets.

@leo60228
Created September 7, 2021 13:48
Show Gist options
  • Star 1 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save leo60228/9debfe4a44d274043917eeff2bb0cf5a to your computer and use it in GitHub Desktop.
Save leo60228/9debfe4a44d274043917eeff2bb0cf5a to your computer and use it in GitHub Desktop.
This file has been truncated, but you can view the full file.
{
"creator": "Yosys 0.9+4052 (git sha1 687f381b69, gcc 10.3.0 -fPIC -Os)",
"modules": {
"$paramod$6b02c6e2b61a3396fb091bf3c2b7ebe66da395c1\\picorv32": {
"attributes": {
"dynports": "00000000000000000000000000000001",
"hdlname": "\\picorv32",
"src": "../picorv32.v:62.1-2162.10"
},
"parameter_default_values": {
"BARREL_SHIFTER": "0",
"CATCH_ILLINSN": "0",
"CATCH_MISALIGN": "0",
"COMPRESSED_ISA": "0",
"ENABLE_COUNTERS": "0",
"ENABLE_COUNTERS64": "1",
"ENABLE_DIV": "0",
"ENABLE_FAST_MUL": "0",
"ENABLE_IRQ": "0",
"ENABLE_IRQ_QREGS": "0",
"ENABLE_IRQ_TIMER": "1",
"ENABLE_MUL": "0",
"ENABLE_PCPI": "0",
"ENABLE_REGS_16_31": "0",
"ENABLE_REGS_DUALPORT": "0",
"ENABLE_TRACE": "0",
"LATCHED_IRQ": "11111111111111111111111111111111",
"LATCHED_MEM_RDATA": "0",
"MASKED_IRQ": "00000000000000000000000000000000",
"PROGADDR_IRQ": "00000000000000000000000000000000",
"PROGADDR_RESET": "00000000000100000000000000000000",
"REGS_INIT_ZERO": "0",
"STACKADDR": "00000000000000000000000010000000",
"TWO_CYCLE_ALU": "0",
"TWO_CYCLE_COMPARE": "0",
"TWO_STAGE_SHIFT": "0"
},
"ports": {
"clk": {
"direction": "input",
"bits": [ 2 ]
},
"resetn": {
"direction": "input",
"bits": [ 3 ]
},
"trap": {
"direction": "output",
"bits": [ 4 ]
},
"mem_valid": {
"direction": "output",
"bits": [ 5 ]
},
"mem_instr": {
"direction": "output",
"bits": [ 6 ]
},
"mem_ready": {
"direction": "input",
"bits": [ 7 ]
},
"mem_addr": {
"direction": "output",
"bits": [ "0", "0", 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ]
},
"mem_wdata": {
"direction": "output",
"bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ]
},
"mem_wstrb": {
"direction": "output",
"bits": [ 70, 71, 72, 73 ]
},
"mem_rdata": {
"direction": "input",
"bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ]
},
"mem_la_read": {
"direction": "output",
"bits": [ 106 ]
},
"mem_la_write": {
"direction": "output",
"bits": [ 107 ]
},
"mem_la_addr": {
"direction": "output",
"bits": [ "0", "0", 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137 ]
},
"mem_la_wdata": {
"direction": "output",
"bits": [ 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169 ]
},
"mem_la_wstrb": {
"direction": "output",
"bits": [ 170, 171, 172, 173 ]
},
"pcpi_valid": {
"direction": "output",
"bits": [ "0" ]
},
"pcpi_insn": {
"direction": "output",
"bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ]
},
"pcpi_rs1": {
"direction": "output",
"bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205 ]
},
"pcpi_rs2": {
"direction": "output",
"bits": [ 138, 139, 140, 141, 142, 143, 144, 145, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229 ]
},
"pcpi_wr": {
"direction": "input",
"bits": [ 230 ]
},
"pcpi_rd": {
"direction": "input",
"bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ]
},
"pcpi_wait": {
"direction": "input",
"bits": [ 263 ]
},
"pcpi_ready": {
"direction": "input",
"bits": [ 264 ]
},
"irq": {
"direction": "input",
"bits": [ 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296 ]
},
"eoi": {
"direction": "output",
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ]
},
"trace_valid": {
"direction": "output",
"bits": [ "0" ]
},
"trace_data": {
"direction": "output",
"bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ]
}
},
"cells": {
"$abc$31351$auto$blifparse.cc:377:parse_blif$31352": {
"hide_name": 1,
"type": "$_NOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"Y": [ 298 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31353": {
"hide_name": 1,
"type": "$_NOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 299 ],
"Y": [ 300 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31354": {
"hide_name": 1,
"type": "$_NOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 301 ],
"Y": [ 302 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31355": {
"hide_name": 1,
"type": "$_NOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 303 ],
"Y": [ 304 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31356": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 7 ],
"B": [ 5 ],
"Y": [ 305 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31357": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 306 ],
"B": [ 307 ],
"Y": [ 308 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31358": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 309 ],
"B": [ 308 ],
"Y": [ 310 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31359": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 309 ],
"B": [ 311 ],
"Y": [ 312 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31360": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 313 ],
"B": [ 312 ],
"Y": [ 314 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31361": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 306 ],
"B": [ 307 ],
"Y": [ 315 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31362": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 305 ],
"B": [ 315 ],
"Y": [ 316 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31363": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 314 ],
"B": [ 316 ],
"Y": [ 317 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31364": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 310 ],
"B": [ 317 ],
"Y": [ 318 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31365": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 318 ],
"Y": [ 319 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31366": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 320 ],
"B": [ 319 ],
"Y": [ 321 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31367": {
"hide_name": 1,
"type": "$_NOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 321 ],
"Y": [ 322 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31368": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 307 ],
"B": [ 306 ],
"Y": [ 323 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31369": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 306 ],
"B": [ 307 ],
"Y": [ 324 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31370": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 4 ],
"Y": [ 325 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31372": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 320 ],
"B": [ 309 ],
"Y": [ 326 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31373": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 311 ],
"B": [ 326 ],
"Y": [ 327 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31374": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 315 ],
"B": [ 327 ],
"Y": [ 328 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31375": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 328 ],
"Y": [ 106 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31376": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 313 ],
"B": [ 315 ],
"Y": [ 329 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31377": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 329 ],
"Y": [ 107 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31378": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 106 ],
"B": [ 107 ],
"Y": [ 330 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31379": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 330 ],
"Y": [ 331 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31380": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 320 ],
"B": [ 319 ],
"Y": [ 332 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31381": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 332 ],
"Y": [ 333 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31382": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 333 ],
"B": [ 313 ],
"Y": [ 334 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31383": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 335 ],
"B": [ 334 ],
"Y": [ 336 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31384": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 337 ],
"B": [ 338 ],
"Y": [ 339 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31385": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 339 ],
"B": [ 340 ],
"Y": [ 341 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31386": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 336 ],
"B": [ 341 ],
"Y": [ 342 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31387": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 311 ],
"Y": [ 344 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31388": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 311 ],
"B": [ 332 ],
"Y": [ 345 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31389": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 333 ],
"B": [ 344 ],
"Y": [ 346 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31390": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 347 ],
"B": [ 348 ],
"Y": [ 349 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31391": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 349 ],
"B": [ 350 ],
"Y": [ 351 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31392": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 352 ],
"B": [ 353 ],
"Y": [ 354 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31393": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 351 ],
"B": [ 354 ],
"Y": [ 355 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31394": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 346 ],
"B": [ 355 ],
"Y": [ 356 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31395": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 313 ],
"B": [ 335 ],
"Y": [ 357 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31396": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 311 ],
"B": [ 343 ],
"Y": [ 358 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31397": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 357 ],
"B": [ 358 ],
"Y": [ 359 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31398": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 333 ],
"B": [ 359 ],
"Y": [ 360 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31399": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 335 ],
"Y": [ 361 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31400": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 318 ],
"Y": [ 362 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31402": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 320 ],
"B": [ 362 ],
"Y": [ 363 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31403": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 364 ],
"B": [ 363 ],
"S": [ 361 ],
"Y": [ 365 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31404": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 365 ],
"Y": [ 366 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31405": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 360 ],
"B": [ 366 ],
"Y": [ 367 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31406": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 356 ],
"B": [ 367 ],
"Y": [ 368 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31407": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 364 ],
"Y": [ 369 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31408": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 342 ],
"B": [ 368 ],
"Y": [ 370 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31409": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 371 ],
"B": [ 370 ],
"Y": [ 372 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31410": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 349 ],
"B": [ 346 ],
"Y": [ 373 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31411": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 337 ],
"B": [ 336 ],
"Y": [ 374 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31412": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 373 ],
"B": [ 374 ],
"Y": [ 375 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31413": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 372 ],
"B": [ 375 ],
"Y": [ 376 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31414": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 377 ],
"B": [ 378 ],
"Y": [ 379 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31415": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 380 ],
"B": [ 379 ],
"Y": [ 381 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31416": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 383 ],
"Y": [ 384 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31417": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 381 ],
"B": [ 384 ],
"Y": [ 385 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31418": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 362 ],
"B": [ 385 ],
"Y": [ 386 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31419": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 381 ],
"Y": [ 387 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31420": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 388 ],
"B": [ 389 ],
"Y": [ 390 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31421": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 390 ],
"B": [ 391 ],
"Y": [ 392 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31422": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 393 ],
"B": [ 394 ],
"Y": [ 395 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31423": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 395 ],
"Y": [ 397 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31424": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 392 ],
"B": [ 397 ],
"Y": [ 398 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31425": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 387 ],
"B": [ 398 ],
"Y": [ 399 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31426": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 386 ],
"B": [ 399 ],
"Y": [ 400 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31427": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 345 ],
"B": [ 343 ],
"Y": [ 401 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31428": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 335 ],
"B": [ 332 ],
"Y": [ 402 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31429": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 403 ],
"B": [ 404 ],
"Y": [ 405 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31430": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 405 ],
"B": [ 406 ],
"Y": [ 407 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31431": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 407 ],
"B": [ 408 ],
"Y": [ 409 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31432": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 409 ],
"B": [ 410 ],
"Y": [ 411 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31433": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 411 ],
"Y": [ 412 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31434": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 297 ],
"Y": [ 413 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31435": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 298 ],
"B": [ 361 ],
"Y": [ 414 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31436": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 391 ],
"B": [ 414 ],
"Y": [ 415 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31437": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 357 ],
"Y": [ 416 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31438": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 415 ],
"B": [ 416 ],
"Y": [ 417 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31439": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 418 ],
"B": [ 419 ],
"Y": [ 420 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31440": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 421 ],
"B": [ 422 ],
"Y": [ 423 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31441": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 424 ],
"B": [ 425 ],
"Y": [ 426 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31442": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 423 ],
"B": [ 426 ],
"Y": [ 427 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31443": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 298 ],
"B": [ 427 ],
"Y": [ 428 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31444": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 420 ],
"B": [ 428 ],
"Y": [ 429 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31445": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 417 ],
"B": [ 429 ],
"Y": [ 430 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31446": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 298 ],
"B": [ 411 ],
"Y": [ 431 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31447": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 430 ],
"B": [ 412 ],
"Y": [ 432 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31448": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 402 ],
"B": [ 432 ],
"Y": [ 433 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31449": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 401 ],
"B": [ 433 ],
"Y": [ 434 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31450": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 370 ],
"Y": [ 436 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31451": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 338 ],
"B": [ 336 ],
"Y": [ 437 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31452": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 354 ],
"B": [ 346 ],
"Y": [ 438 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31453": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 437 ],
"B": [ 438 ],
"Y": [ 439 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31454": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 436 ],
"B": [ 439 ],
"Y": [ 440 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31455": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 364 ],
"B": [ 383 ],
"Y": [ 441 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31457": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 384 ],
"B": [ 441 ],
"Y": [ 442 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31458": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 364 ],
"B": [ 345 ],
"S": [ 343 ],
"Y": [ 443 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31459": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 394 ],
"B": [ 396 ],
"Y": [ 444 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31460": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 431 ],
"B": [ 444 ],
"Y": [ 445 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31461": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 391 ],
"B": [ 446 ],
"Y": [ 447 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31462": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 445 ],
"B": [ 447 ],
"Y": [ 448 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31463": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 387 ],
"B": [ 448 ],
"Y": [ 449 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31464": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 325 ],
"B": [ 329 ],
"Y": [ 450 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31465": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 383 ],
"Y": [ 451 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31466": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 364 ],
"B": [ 382 ],
"S": [ 383 ],
"Y": [ 452 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31467": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 322 ],
"B": [ 361 ],
"Y": [ 453 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31468": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 455 ],
"Y": [ 456 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31469": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 454 ],
"Y": [ 457 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31470": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 364 ],
"B": [ 457 ],
"Y": [ 458 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31471": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 451 ],
"Y": [ 459 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31472": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 458 ],
"B": [ 459 ],
"Y": [ 460 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31473": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 453 ],
"B": [ 460 ],
"Y": [ 461 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31474": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 381 ],
"B": [ 461 ],
"Y": [ 462 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31475": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 387 ],
"B": [ 412 ],
"Y": [ 463 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31476": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 319 ],
"B": [ 385 ],
"Y": [ 464 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31477": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 463 ],
"B": [ 464 ],
"Y": [ 465 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31478": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 462 ],
"B": [ 465 ],
"Y": [ 466 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31479": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 467 ],
"Y": [ 468 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31480": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 468 ],
"B": [ 3 ],
"Y": [ 469 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31481": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 305 ],
"B": [ 325 ],
"Y": [ 470 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31482": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 324 ],
"B": [ 470 ],
"Y": [ 471 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31483": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 4 ],
"Y": [ 472 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31484": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 7 ],
"B": [ 472 ],
"Y": [ 473 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31485": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 308 ],
"B": [ 325 ],
"Y": [ 474 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31486": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 473 ],
"B": [ 474 ],
"Y": [ 475 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31487": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 471 ],
"B": [ 475 ],
"Y": [ 476 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31488": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 315 ],
"B": [ 313 ],
"Y": [ 477 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31489": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 325 ],
"B": [ 477 ],
"Y": [ 478 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31490": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 327 ],
"B": [ 478 ],
"Y": [ 479 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31491": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 476 ],
"B": [ 479 ],
"Y": [ 480 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31492": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 441 ],
"Y": [ 481 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31494": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 333 ],
"B": [ 361 ],
"Y": [ 482 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31495": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 471 ],
"B": [ 472 ],
"Y": [ 483 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31496": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 309 ],
"B": [ 474 ],
"Y": [ 484 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31497": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 479 ],
"B": [ 484 ],
"Y": [ 485 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31498": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 483 ],
"B": [ 485 ],
"Y": [ 486 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31499": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 487 ],
"B": [ 488 ],
"Y": [ 489 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31500": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 489 ],
"Y": [ 490 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31501": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 491 ],
"B": [ 492 ],
"Y": [ 493 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31502": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 494 ],
"B": [ 493 ],
"Y": [ 495 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31503": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 496 ],
"B": [ 497 ],
"Y": [ 498 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31504": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 499 ],
"B": [ 498 ],
"Y": [ 500 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31505": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 493 ],
"Y": [ 501 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31506": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 498 ],
"B": [ 501 ],
"Y": [ 502 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31507": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 393 ],
"B": [ 396 ],
"Y": [ 503 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31508": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 335 ],
"B": [ 321 ],
"Y": [ 504 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31509": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 503 ],
"B": [ 504 ],
"Y": [ 505 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31510": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 387 ],
"B": [ 505 ],
"Y": [ 506 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31511": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 321 ],
"Y": [ 507 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31512": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 391 ],
"B": [ 508 ],
"Y": [ 509 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31513": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 507 ],
"B": [ 509 ],
"Y": [ 510 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31514": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 387 ],
"B": [ 510 ],
"Y": [ 511 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31515": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 512 ],
"B": [ 513 ],
"S": [ 396 ],
"Y": [ 514 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31516": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 515 ],
"B": [ 516 ],
"S": [ 396 ],
"Y": [ 517 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31517": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 518 ],
"B": [ 519 ],
"S": [ 396 ],
"Y": [ 520 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31518": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 521 ],
"B": [ 522 ],
"S": [ 396 ],
"Y": [ 523 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31519": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 524 ],
"Y": [ 525 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31520": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 381 ],
"B": [ 525 ],
"Y": [ 526 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31521": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 391 ],
"B": [ 390 ],
"Y": [ 527 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31522": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 508 ],
"Y": [ 528 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31523": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 527 ],
"B": [ 528 ],
"Y": [ 529 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31524": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 391 ],
"B": [ 396 ],
"Y": [ 530 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31525": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 297 ],
"Y": [ 531 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31526": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 531 ],
"B": [ 391 ],
"Y": [ 532 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31527": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 369 ],
"B": [ 532 ],
"Y": [ 533 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31528": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 529 ],
"B": [ 533 ],
"Y": [ 534 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31529": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 445 ],
"B": [ 534 ],
"Y": [ 535 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31530": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 362 ],
"B": [ 535 ],
"Y": [ 536 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31531": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 364 ],
"Y": [ 537 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31532": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 456 ],
"B": [ 537 ],
"Y": [ 538 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31533": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 530 ],
"Y": [ 539 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31534": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 423 ],
"B": [ 431 ],
"Y": [ 540 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31535": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 434 ],
"B": [ 540 ],
"Y": [ 541 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31536": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 542 ],
"B": [ 86 ],
"S": [ 305 ],
"Y": [ 543 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31537": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 544 ],
"B": [ 87 ],
"S": [ 305 ],
"Y": [ 545 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31538": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 546 ],
"B": [ 88 ],
"S": [ 305 ],
"Y": [ 547 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31539": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 548 ],
"B": [ 79 ],
"S": [ 305 ],
"Y": [ 549 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31540": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 550 ],
"B": [ 78 ],
"S": [ 305 ],
"Y": [ 551 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31541": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 552 ],
"B": [ 80 ],
"S": [ 305 ],
"Y": [ 553 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31542": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 551 ],
"B": [ 553 ],
"Y": [ 554 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31543": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 549 ],
"B": [ 554 ],
"Y": [ 555 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31544": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 74 ],
"B": [ 75 ],
"Y": [ 556 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31545": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 557 ],
"B": [ 558 ],
"Y": [ 559 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31546": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 559 ],
"B": [ 556 ],
"S": [ 305 ],
"Y": [ 560 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31547": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 561 ],
"B": [ 77 ],
"S": [ 305 ],
"Y": [ 562 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31548": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 560 ],
"B": [ 562 ],
"Y": [ 563 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31549": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 564 ],
"B": [ 76 ],
"S": [ 305 ],
"Y": [ 565 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31550": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 563 ],
"B": [ 565 ],
"Y": [ 566 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31551": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 555 ],
"B": [ 566 ],
"Y": [ 567 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31552": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 549 ],
"B": [ 553 ],
"Y": [ 568 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31553": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 551 ],
"B": [ 568 ],
"Y": [ 569 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31554": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 566 ],
"B": [ 569 ],
"Y": [ 570 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31555": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 549 ],
"B": [ 551 ],
"Y": [ 571 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31556": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 553 ],
"B": [ 571 ],
"Y": [ 572 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31557": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 560 ],
"B": [ 562 ],
"Y": [ 573 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31558": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 565 ],
"B": [ 573 ],
"Y": [ 574 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31559": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 572 ],
"B": [ 574 ],
"Y": [ 575 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31560": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 563 ],
"B": [ 565 ],
"Y": [ 576 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31561": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 572 ],
"B": [ 576 ],
"Y": [ 577 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31562": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 551 ],
"B": [ 568 ],
"Y": [ 578 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31563": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 576 ],
"B": [ 578 ],
"Y": [ 579 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31564": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 553 ],
"B": [ 571 ],
"Y": [ 580 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31565": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 576 ],
"B": [ 580 ],
"Y": [ 581 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31566": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 569 ],
"B": [ 576 ],
"Y": [ 582 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31567": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 555 ],
"B": [ 576 ],
"Y": [ 583 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31568": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 543 ],
"B": [ 545 ],
"Y": [ 584 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31569": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 547 ],
"B": [ 584 ],
"Y": [ 585 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31570": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 566 ],
"B": [ 585 ],
"Y": [ 586 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31571": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 572 ],
"B": [ 586 ],
"Y": [ 587 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31572": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 542 ],
"B": [ 544 ],
"Y": [ 588 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31573": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 588 ],
"B": [ 546 ],
"Y": [ 589 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31574": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 589 ],
"Y": [ 590 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31575": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 542 ],
"B": [ 544 ],
"Y": [ 591 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31576": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 546 ],
"B": [ 591 ],
"Y": [ 592 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31577": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 592 ],
"Y": [ 593 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31578": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 546 ],
"B": [ 588 ],
"Y": [ 594 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31579": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 594 ],
"Y": [ 595 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31580": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 544 ],
"B": [ 542 ],
"Y": [ 596 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31581": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 546 ],
"B": [ 596 ],
"Y": [ 597 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31582": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 597 ],
"Y": [ 598 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31583": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 542 ],
"B": [ 544 ],
"Y": [ 599 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31584": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 546 ],
"B": [ 599 ],
"Y": [ 600 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31585": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 600 ],
"Y": [ 601 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31586": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 591 ],
"B": [ 546 ],
"Y": [ 602 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31587": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 508 ],
"B": [ 602 ],
"Y": [ 603 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31588": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 508 ],
"B": [ 589 ],
"Y": [ 604 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31589": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 596 ],
"B": [ 546 ],
"Y": [ 605 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31590": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 508 ],
"B": [ 605 ],
"Y": [ 606 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31591": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 508 ],
"B": [ 592 ],
"Y": [ 607 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31592": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 508 ],
"B": [ 594 ],
"Y": [ 608 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31593": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 393 ],
"B": [ 602 ],
"Y": [ 609 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31594": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 393 ],
"B": [ 589 ],
"Y": [ 610 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31595": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 393 ],
"B": [ 605 ],
"Y": [ 611 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31596": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 605 ],
"Y": [ 613 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31597": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 599 ],
"B": [ 546 ],
"Y": [ 614 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31598": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 614 ],
"Y": [ 615 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31599": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 592 ],
"Y": [ 616 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31600": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 597 ],
"Y": [ 617 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31601": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 600 ],
"Y": [ 618 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31602": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 619 ],
"B": [ 620 ],
"Y": [ 621 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31603": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 622 ],
"B": [ 623 ],
"Y": [ 624 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31604": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 625 ],
"B": [ 626 ],
"Y": [ 627 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31605": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 621 ],
"B": [ 624 ],
"Y": [ 628 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31606": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 627 ],
"B": [ 628 ],
"Y": [ 629 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31607": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 629 ],
"B": [ 630 ],
"Y": [ 631 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31608": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 589 ],
"B": [ 631 ],
"Y": [ 632 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31609": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 632 ],
"Y": [ 633 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31610": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 594 ],
"Y": [ 634 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31611": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 631 ],
"B": [ 634 ],
"Y": [ 635 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31612": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 630 ],
"B": [ 629 ],
"Y": [ 636 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31613": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 634 ],
"B": [ 636 ],
"Y": [ 637 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31614": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 638 ],
"B": [ 636 ],
"Y": [ 639 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31615": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 602 ],
"B": [ 639 ],
"Y": [ 640 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31616": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 638 ],
"B": [ 631 ],
"Y": [ 641 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31617": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 589 ],
"B": [ 641 ],
"Y": [ 642 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31618": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 605 ],
"B": [ 641 ],
"Y": [ 643 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31619": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 614 ],
"B": [ 641 ],
"Y": [ 644 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31620": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 592 ],
"B": [ 641 ],
"Y": [ 645 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31621": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 594 ],
"B": [ 641 ],
"Y": [ 646 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31622": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 594 ],
"B": [ 639 ],
"Y": [ 647 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31623": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 597 ],
"B": [ 641 ],
"Y": [ 648 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31624": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 600 ],
"B": [ 641 ],
"Y": [ 649 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31625": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 650 ],
"B": [ 651 ],
"Y": [ 652 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31626": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 652 ],
"B": [ 653 ],
"Y": [ 654 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31627": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 655 ],
"B": [ 656 ],
"Y": [ 657 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31628": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 658 ],
"B": [ 659 ],
"Y": [ 660 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31629": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 657 ],
"B": [ 660 ],
"Y": [ 661 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31630": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 654 ],
"B": [ 661 ],
"Y": [ 662 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31631": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 602 ],
"B": [ 662 ],
"Y": [ 663 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31632": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 564 ],
"B": [ 561 ],
"Y": [ 664 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31633": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 550 ],
"B": [ 548 ],
"Y": [ 665 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31634": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 664 ],
"B": [ 665 ],
"Y": [ 666 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31635": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 559 ],
"B": [ 666 ],
"Y": [ 667 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31636": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 668 ],
"B": [ 669 ],
"Y": [ 670 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31637": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 671 ],
"B": [ 672 ],
"Y": [ 673 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31638": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 670 ],
"B": [ 673 ],
"Y": [ 674 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31639": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 552 ],
"B": [ 675 ],
"Y": [ 676 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31640": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 677 ],
"B": [ 678 ],
"Y": [ 679 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31641": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 676 ],
"B": [ 679 ],
"Y": [ 680 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31642": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 674 ],
"B": [ 680 ],
"Y": [ 681 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31643": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 667 ],
"B": [ 681 ],
"Y": [ 682 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31644": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 663 ],
"B": [ 682 ],
"Y": [ 683 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31645": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 631 ],
"B": [ 683 ],
"Y": [ 684 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31646": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 594 ],
"B": [ 629 ],
"Y": [ 685 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31647": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 632 ],
"B": [ 685 ],
"Y": [ 686 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31648": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 612 ],
"B": [ 686 ],
"Y": [ 687 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31649": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 588 ],
"B": [ 612 ],
"Y": [ 688 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31650": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 300 ],
"B": [ 688 ],
"Y": [ 689 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31651": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 638 ],
"B": [ 686 ],
"Y": [ 690 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31652": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 691 ],
"B": [ 692 ],
"Y": [ 693 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31653": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 694 ],
"B": [ 695 ],
"Y": [ 696 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31654": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 693 ],
"B": [ 696 ],
"Y": [ 697 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31655": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 698 ],
"B": [ 699 ],
"Y": [ 700 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31656": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 537 ],
"B": [ 700 ],
"Y": [ 701 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31657": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 697 ],
"B": [ 701 ],
"Y": [ 702 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31658": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 309 ],
"B": [ 319 ],
"Y": [ 703 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31659": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 514 ],
"B": [ 517 ],
"Y": [ 704 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31660": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 520 ],
"B": [ 523 ],
"Y": [ 705 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31661": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 704 ],
"B": [ 705 ],
"Y": [ 706 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31662": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 707 ],
"B": [ 706 ],
"Y": [ 708 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31663": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 708 ],
"Y": [ 709 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31664": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 710 ],
"B": [ 708 ],
"S": [ 396 ],
"Y": [ 711 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31665": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 712 ],
"B": [ 706 ],
"Y": [ 713 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31666": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 713 ],
"Y": [ 714 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31667": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 715 ],
"B": [ 713 ],
"S": [ 396 ],
"Y": [ 716 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31668": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 717 ],
"B": [ 706 ],
"Y": [ 718 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31669": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 718 ],
"Y": [ 719 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31670": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 720 ],
"B": [ 718 ],
"S": [ 396 ],
"Y": [ 721 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31671": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 722 ],
"B": [ 706 ],
"Y": [ 723 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31672": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 723 ],
"Y": [ 724 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31673": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 725 ],
"B": [ 723 ],
"S": [ 396 ],
"Y": [ 726 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31674": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 727 ],
"B": [ 706 ],
"Y": [ 728 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31675": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"B": [ 728 ],
"Y": [ 729 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31676": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 730 ],
"B": [ 728 ],
"S": [ 396 ],
"Y": [ 731 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31677": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 732 ],
"B": [ 706 ],
"Y": [ 733 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31678": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 734 ],
"B": [ 733 ],
"S": [ 396 ],
"Y": [ 735 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31679": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 736 ],
"B": [ 706 ],
"Y": [ 737 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31680": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 738 ],
"B": [ 737 ],
"S": [ 396 ],
"Y": [ 739 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31681": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 740 ],
"B": [ 706 ],
"Y": [ 741 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31682": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 742 ],
"B": [ 741 ],
"S": [ 396 ],
"Y": [ 743 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31683": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 744 ],
"B": [ 706 ],
"Y": [ 745 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31684": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 746 ],
"B": [ 745 ],
"S": [ 396 ],
"Y": [ 747 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31685": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 748 ],
"B": [ 706 ],
"Y": [ 749 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31686": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 750 ],
"B": [ 749 ],
"S": [ 396 ],
"Y": [ 751 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31687": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 752 ],
"B": [ 706 ],
"Y": [ 753 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31688": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 754 ],
"B": [ 753 ],
"S": [ 396 ],
"Y": [ 755 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31689": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 756 ],
"B": [ 706 ],
"Y": [ 757 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31690": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 758 ],
"B": [ 757 ],
"S": [ 396 ],
"Y": [ 759 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31691": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 760 ],
"B": [ 706 ],
"Y": [ 761 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31692": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 762 ],
"B": [ 761 ],
"S": [ 396 ],
"Y": [ 763 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31693": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 764 ],
"B": [ 706 ],
"Y": [ 765 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31694": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 766 ],
"B": [ 765 ],
"S": [ 396 ],
"Y": [ 767 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31695": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 768 ],
"B": [ 706 ],
"Y": [ 769 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31696": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 770 ],
"B": [ 769 ],
"S": [ 396 ],
"Y": [ 771 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31697": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 772 ],
"B": [ 706 ],
"Y": [ 773 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31698": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 774 ],
"B": [ 773 ],
"S": [ 396 ],
"Y": [ 775 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31699": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 776 ],
"B": [ 706 ],
"Y": [ 777 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31700": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 778 ],
"B": [ 777 ],
"S": [ 396 ],
"Y": [ 779 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31701": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 780 ],
"B": [ 706 ],
"Y": [ 781 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31702": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 782 ],
"B": [ 781 ],
"S": [ 396 ],
"Y": [ 783 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31703": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 784 ],
"B": [ 706 ],
"Y": [ 785 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31704": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 786 ],
"B": [ 785 ],
"S": [ 396 ],
"Y": [ 787 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31705": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 788 ],
"B": [ 706 ],
"Y": [ 789 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31706": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 790 ],
"B": [ 789 ],
"S": [ 396 ],
"Y": [ 791 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31707": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 792 ],
"B": [ 706 ],
"Y": [ 793 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31708": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 794 ],
"B": [ 793 ],
"S": [ 396 ],
"Y": [ 795 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31709": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 796 ],
"B": [ 706 ],
"Y": [ 797 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31710": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 798 ],
"B": [ 797 ],
"S": [ 396 ],
"Y": [ 799 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31711": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 800 ],
"B": [ 706 ],
"Y": [ 801 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31712": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 802 ],
"B": [ 801 ],
"S": [ 396 ],
"Y": [ 803 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31713": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 804 ],
"B": [ 706 ],
"Y": [ 805 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31714": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 806 ],
"B": [ 805 ],
"S": [ 396 ],
"Y": [ 807 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31715": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 808 ],
"B": [ 706 ],
"Y": [ 809 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31716": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 810 ],
"B": [ 809 ],
"S": [ 396 ],
"Y": [ 811 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31717": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 812 ],
"B": [ 706 ],
"Y": [ 813 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31718": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 814 ],
"B": [ 813 ],
"S": [ 396 ],
"Y": [ 815 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31719": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 816 ],
"B": [ 706 ],
"Y": [ 817 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31720": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 818 ],
"B": [ 817 ],
"S": [ 396 ],
"Y": [ 819 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31721": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 820 ],
"B": [ 706 ],
"Y": [ 821 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31722": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 822 ],
"B": [ 821 ],
"S": [ 396 ],
"Y": [ 823 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31723": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 824 ],
"B": [ 706 ],
"Y": [ 825 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31724": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 826 ],
"B": [ 825 ],
"S": [ 396 ],
"Y": [ 827 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31725": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 828 ],
"B": [ 706 ],
"Y": [ 829 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31726": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 830 ],
"B": [ 829 ],
"S": [ 396 ],
"Y": [ 831 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31727": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 832 ],
"B": [ 706 ],
"Y": [ 833 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31728": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 834 ],
"B": [ 833 ],
"S": [ 396 ],
"Y": [ 835 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31729": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 836 ],
"B": [ 706 ],
"Y": [ 837 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31730": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 838 ],
"B": [ 837 ],
"S": [ 396 ],
"Y": [ 839 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31731": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 225 ],
"B": [ 201 ],
"Y": [ 840 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31732": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 225 ],
"B": [ 201 ],
"Y": [ 841 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31733": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 840 ],
"B": [ 841 ],
"Y": [ 842 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31734": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 223 ],
"B": [ 199 ],
"Y": [ 843 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31735": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 223 ],
"B": [ 199 ],
"Y": [ 844 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31736": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 843 ],
"B": [ 844 ],
"Y": [ 845 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31737": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 222 ],
"B": [ 198 ],
"Y": [ 846 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31738": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 222 ],
"B": [ 198 ],
"Y": [ 847 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31739": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 846 ],
"B": [ 847 ],
"Y": [ 848 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31740": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 224 ],
"B": [ 200 ],
"Y": [ 849 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31741": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 224 ],
"B": [ 200 ],
"Y": [ 850 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31742": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 224 ],
"B": [ 200 ],
"Y": [ 851 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31743": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 226 ],
"B": [ 202 ],
"Y": [ 852 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31744": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 226 ],
"B": [ 202 ],
"Y": [ 853 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31745": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 226 ],
"B": [ 202 ],
"Y": [ 854 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31746": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 227 ],
"B": [ 203 ],
"Y": [ 855 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31747": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 227 ],
"B": [ 203 ],
"Y": [ 856 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31748": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 855 ],
"B": [ 856 ],
"Y": [ 857 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31749": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 854 ],
"B": [ 857 ],
"Y": [ 858 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31750": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 229 ],
"B": [ 205 ],
"Y": [ 859 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31751": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 229 ],
"B": [ 205 ],
"Y": [ 860 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31752": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 859 ],
"B": [ 860 ],
"Y": [ 861 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31753": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 228 ],
"B": [ 204 ],
"Y": [ 862 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31754": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 228 ],
"B": [ 204 ],
"Y": [ 863 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31755": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 228 ],
"B": [ 204 ],
"Y": [ 864 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31756": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 861 ],
"B": [ 864 ],
"Y": [ 865 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31757": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 842 ],
"B": [ 851 ],
"Y": [ 866 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31758": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 848 ],
"B": [ 866 ],
"Y": [ 867 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31759": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 845 ],
"B": [ 865 ],
"Y": [ 868 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31760": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 867 ],
"B": [ 868 ],
"Y": [ 869 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31761": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 858 ],
"B": [ 869 ],
"Y": [ 870 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31762": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 217 ],
"B": [ 193 ],
"Y": [ 871 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31763": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 217 ],
"B": [ 193 ],
"Y": [ 872 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31764": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 871 ],
"B": [ 872 ],
"Y": [ 873 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31765": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 219 ],
"B": [ 195 ],
"Y": [ 874 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31766": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 219 ],
"B": [ 195 ],
"Y": [ 875 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31767": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 874 ],
"B": [ 875 ],
"Y": [ 876 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31768": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 216 ],
"B": [ 192 ],
"Y": [ 877 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31769": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 216 ],
"B": [ 192 ],
"Y": [ 878 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31770": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 216 ],
"B": [ 192 ],
"Y": [ 879 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31771": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 214 ],
"B": [ 190 ],
"Y": [ 880 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31772": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 214 ],
"B": [ 190 ],
"Y": [ 881 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31773": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 880 ],
"B": [ 881 ],
"Y": [ 882 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31774": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 221 ],
"B": [ 197 ],
"Y": [ 883 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31775": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 221 ],
"B": [ 197 ],
"Y": [ 884 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31776": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 883 ],
"B": [ 884 ],
"Y": [ 885 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31777": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 220 ],
"B": [ 196 ],
"Y": [ 886 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31778": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 220 ],
"B": [ 196 ],
"Y": [ 887 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31779": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 886 ],
"B": [ 887 ],
"Y": [ 888 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31780": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 885 ],
"B": [ 888 ],
"Y": [ 889 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31781": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 215 ],
"B": [ 191 ],
"Y": [ 890 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31782": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 215 ],
"B": [ 191 ],
"Y": [ 891 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31783": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 890 ],
"B": [ 891 ],
"Y": [ 892 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31784": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 218 ],
"B": [ 194 ],
"Y": [ 893 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31785": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 218 ],
"B": [ 194 ],
"Y": [ 894 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31786": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 893 ],
"B": [ 894 ],
"Y": [ 895 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31787": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 873 ],
"B": [ 879 ],
"Y": [ 896 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31788": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 876 ],
"B": [ 892 ],
"Y": [ 897 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31789": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 882 ],
"B": [ 895 ],
"Y": [ 898 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31790": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 889 ],
"B": [ 898 ],
"Y": [ 899 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31791": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 897 ],
"B": [ 899 ],
"Y": [ 900 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31792": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 896 ],
"B": [ 900 ],
"Y": [ 901 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31793": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 870 ],
"B": [ 901 ],
"Y": [ 902 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31794": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 210 ],
"B": [ 186 ],
"Y": [ 903 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31795": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 210 ],
"B": [ 186 ],
"Y": [ 904 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31796": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 903 ],
"B": [ 904 ],
"Y": [ 905 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31797": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 207 ],
"B": [ 183 ],
"Y": [ 906 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31798": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 207 ],
"B": [ 183 ],
"Y": [ 907 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31799": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 906 ],
"B": [ 907 ],
"Y": [ 908 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31800": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 211 ],
"B": [ 187 ],
"Y": [ 909 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31801": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 211 ],
"B": [ 187 ],
"Y": [ 910 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31802": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 909 ],
"B": [ 910 ],
"Y": [ 911 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31803": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 206 ],
"B": [ 182 ],
"Y": [ 912 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31804": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 206 ],
"B": [ 182 ],
"Y": [ 913 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31805": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 912 ],
"B": [ 913 ],
"Y": [ 914 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31806": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 213 ],
"B": [ 189 ],
"Y": [ 915 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31807": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 213 ],
"B": [ 189 ],
"Y": [ 916 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31808": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 915 ],
"B": [ 916 ],
"Y": [ 917 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31809": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 212 ],
"B": [ 188 ],
"Y": [ 918 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31810": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 212 ],
"B": [ 188 ],
"Y": [ 919 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31811": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 918 ],
"B": [ 919 ],
"Y": [ 920 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31812": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 917 ],
"B": [ 920 ],
"Y": [ 921 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31813": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 209 ],
"B": [ 185 ],
"Y": [ 922 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31814": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 209 ],
"B": [ 185 ],
"Y": [ 923 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31815": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 922 ],
"B": [ 923 ],
"Y": [ 924 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31816": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 208 ],
"B": [ 184 ],
"Y": [ 925 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31817": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 208 ],
"B": [ 184 ],
"Y": [ 926 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31818": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 925 ],
"B": [ 926 ],
"Y": [ 927 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31819": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 924 ],
"B": [ 927 ],
"Y": [ 928 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31820": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 905 ],
"B": [ 911 ],
"Y": [ 929 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31821": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 921 ],
"B": [ 929 ],
"Y": [ 930 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31822": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 908 ],
"B": [ 914 ],
"Y": [ 931 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31823": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 928 ],
"B": [ 931 ],
"Y": [ 932 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31824": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 930 ],
"B": [ 932 ],
"Y": [ 933 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31825": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 143 ],
"B": [ 179 ],
"Y": [ 934 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31826": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 143 ],
"B": [ 179 ],
"Y": [ 935 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31827": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 934 ],
"B": [ 935 ],
"Y": [ 936 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31828": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 141 ],
"B": [ 177 ],
"Y": [ 937 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31829": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 141 ],
"B": [ 177 ],
"Y": [ 938 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31830": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 937 ],
"B": [ 938 ],
"Y": [ 939 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31831": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 142 ],
"B": [ 178 ],
"Y": [ 940 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31832": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 142 ],
"B": [ 178 ],
"Y": [ 941 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31833": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 940 ],
"B": [ 941 ],
"Y": [ 942 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31834": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 138 ],
"B": [ 174 ],
"Y": [ 943 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31835": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 139 ],
"B": [ 175 ],
"Y": [ 944 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31836": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 139 ],
"B": [ 175 ],
"Y": [ 945 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31837": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 944 ],
"B": [ 945 ],
"Y": [ 946 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31838": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 943 ],
"B": [ 946 ],
"Y": [ 947 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31839": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 145 ],
"B": [ 181 ],
"Y": [ 948 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31840": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 145 ],
"B": [ 181 ],
"Y": [ 949 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31841": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 948 ],
"B": [ 949 ],
"Y": [ 950 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31842": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 144 ],
"B": [ 180 ],
"Y": [ 951 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31843": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 144 ],
"B": [ 180 ],
"Y": [ 952 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31844": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 144 ],
"B": [ 180 ],
"Y": [ 953 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31845": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 950 ],
"B": [ 953 ],
"Y": [ 954 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31846": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 140 ],
"B": [ 176 ],
"Y": [ 955 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31847": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 140 ],
"B": [ 176 ],
"Y": [ 956 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31848": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 140 ],
"B": [ 176 ],
"Y": [ 957 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31849": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 138 ],
"B": [ 174 ],
"Y": [ 958 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31850": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 936 ],
"B": [ 942 ],
"Y": [ 959 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31851": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 959 ],
"B": [ 958 ],
"Y": [ 960 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31852": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 939 ],
"B": [ 957 ],
"Y": [ 961 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31853": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 947 ],
"B": [ 961 ],
"Y": [ 962 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31854": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 954 ],
"B": [ 962 ],
"Y": [ 963 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31855": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 960 ],
"B": [ 963 ],
"Y": [ 964 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31856": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 933 ],
"B": [ 964 ],
"Y": [ 965 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31857": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 902 ],
"B": [ 965 ],
"Y": [ 966 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31858": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 179 ],
"B": [ 143 ],
"Y": [ 967 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31859": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 178 ],
"B": [ 142 ],
"Y": [ 968 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31860": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 177 ],
"B": [ 141 ],
"Y": [ 969 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31861": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 140 ],
"B": [ 176 ],
"Y": [ 970 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31862": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 139 ],
"B": [ 175 ],
"Y": [ 971 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31863": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 939 ],
"B": [ 970 ],
"Y": [ 972 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31864": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 969 ],
"B": [ 972 ],
"Y": [ 973 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31865": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 961 ],
"B": [ 971 ],
"Y": [ 974 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31866": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 973 ],
"B": [ 974 ],
"Y": [ 975 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31867": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 962 ],
"B": [ 975 ],
"Y": [ 976 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31868": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 942 ],
"B": [ 976 ],
"Y": [ 977 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31869": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 968 ],
"B": [ 977 ],
"Y": [ 978 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31870": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 936 ],
"B": [ 978 ],
"Y": [ 979 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31871": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 967 ],
"B": [ 979 ],
"Y": [ 980 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31872": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 954 ],
"B": [ 980 ],
"Y": [ 981 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31873": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 144 ],
"B": [ 180 ],
"Y": [ 982 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31874": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 950 ],
"B": [ 982 ],
"Y": [ 983 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31875": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 181 ],
"B": [ 145 ],
"Y": [ 984 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31876": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 983 ],
"B": [ 984 ],
"Y": [ 985 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31877": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 981 ],
"B": [ 985 ],
"Y": [ 986 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31878": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 933 ],
"B": [ 986 ],
"Y": [ 987 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31879": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 212 ],
"B": [ 188 ],
"Y": [ 988 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31880": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 917 ],
"B": [ 988 ],
"Y": [ 989 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31881": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 189 ],
"B": [ 213 ],
"Y": [ 990 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31882": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 989 ],
"B": [ 990 ],
"Y": [ 991 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31883": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 187 ],
"B": [ 211 ],
"Y": [ 992 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31884": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 210 ],
"B": [ 186 ],
"Y": [ 993 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31885": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 183 ],
"B": [ 207 ],
"Y": [ 994 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31886": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 206 ],
"B": [ 182 ],
"Y": [ 995 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31887": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 908 ],
"B": [ 995 ],
"Y": [ 996 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31888": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 994 ],
"B": [ 996 ],
"Y": [ 997 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31889": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 928 ],
"B": [ 997 ],
"Y": [ 998 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31890": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 208 ],
"B": [ 184 ],
"Y": [ 999 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31891": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 924 ],
"B": [ 999 ],
"Y": [ 1000 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31892": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 185 ],
"B": [ 209 ],
"Y": [ 1001 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31893": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1000 ],
"B": [ 1001 ],
"Y": [ 1002 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31894": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 998 ],
"B": [ 1002 ],
"Y": [ 1003 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31895": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 930 ],
"B": [ 1003 ],
"Y": [ 1004 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31896": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 911 ],
"B": [ 993 ],
"Y": [ 1005 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31897": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 992 ],
"B": [ 1005 ],
"Y": [ 1006 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31898": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 921 ],
"B": [ 1006 ],
"Y": [ 1007 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31899": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 991 ],
"B": [ 1004 ],
"Y": [ 1008 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31900": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1007 ],
"B": [ 1008 ],
"Y": [ 1009 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31901": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 987 ],
"B": [ 1009 ],
"Y": [ 1010 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31902": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 902 ],
"B": [ 1010 ],
"Y": [ 1011 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31903": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 195 ],
"B": [ 219 ],
"Y": [ 1012 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31904": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 194 ],
"B": [ 218 ],
"Y": [ 1013 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31905": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 193 ],
"B": [ 217 ],
"Y": [ 1014 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31906": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 216 ],
"B": [ 192 ],
"Y": [ 1015 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31907": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 191 ],
"B": [ 215 ],
"Y": [ 1016 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31908": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 214 ],
"B": [ 190 ],
"Y": [ 1017 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31909": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 892 ],
"B": [ 1017 ],
"Y": [ 1018 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31910": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1016 ],
"B": [ 1018 ],
"Y": [ 1019 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31911": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 896 ],
"B": [ 1019 ],
"Y": [ 1020 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31912": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 873 ],
"B": [ 1015 ],
"Y": [ 1021 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31913": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1014 ],
"B": [ 1021 ],
"Y": [ 1022 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31914": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1020 ],
"B": [ 1022 ],
"Y": [ 1023 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31915": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 895 ],
"B": [ 1023 ],
"Y": [ 1024 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31916": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1013 ],
"B": [ 1024 ],
"Y": [ 1025 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31917": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 876 ],
"B": [ 1025 ],
"Y": [ 1026 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31918": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1012 ],
"B": [ 1026 ],
"Y": [ 1027 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31919": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 889 ],
"B": [ 1027 ],
"Y": [ 1028 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31920": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 220 ],
"B": [ 196 ],
"Y": [ 1029 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31921": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 885 ],
"B": [ 1029 ],
"Y": [ 1030 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31922": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 197 ],
"B": [ 221 ],
"Y": [ 1031 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31923": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1030 ],
"B": [ 1031 ],
"Y": [ 1032 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31924": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1028 ],
"B": [ 1032 ],
"Y": [ 1033 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31925": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 870 ],
"B": [ 1033 ],
"Y": [ 1034 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31926": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 203 ],
"B": [ 227 ],
"Y": [ 1035 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31927": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 226 ],
"B": [ 202 ],
"Y": [ 1036 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31928": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 201 ],
"B": [ 225 ],
"Y": [ 1037 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31929": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 224 ],
"B": [ 200 ],
"Y": [ 1038 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31930": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 199 ],
"B": [ 223 ],
"Y": [ 1039 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31931": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 222 ],
"B": [ 198 ],
"Y": [ 1040 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31932": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 845 ],
"B": [ 1040 ],
"Y": [ 1041 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31933": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1039 ],
"B": [ 1041 ],
"Y": [ 1042 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31934": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 866 ],
"B": [ 1042 ],
"Y": [ 1043 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31935": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 842 ],
"B": [ 1038 ],
"Y": [ 1044 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31936": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1037 ],
"B": [ 1044 ],
"Y": [ 1045 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31937": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1043 ],
"B": [ 1045 ],
"Y": [ 1046 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31938": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 858 ],
"B": [ 1046 ],
"Y": [ 1047 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31939": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 857 ],
"B": [ 1036 ],
"Y": [ 1048 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31940": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1035 ],
"B": [ 1048 ],
"Y": [ 1049 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31941": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1047 ],
"B": [ 1049 ],
"Y": [ 1050 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31942": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 865 ],
"B": [ 1050 ],
"Y": [ 1051 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31943": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 205 ],
"B": [ 229 ],
"Y": [ 1052 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31944": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 228 ],
"B": [ 204 ],
"Y": [ 1053 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31945": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 861 ],
"B": [ 1053 ],
"Y": [ 1054 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31946": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1052 ],
"B": [ 1054 ],
"Y": [ 1055 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31947": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1051 ],
"B": [ 1055 ],
"Y": [ 1056 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31948": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1034 ],
"B": [ 1056 ],
"Y": [ 1057 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31949": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1011 ],
"B": [ 1057 ],
"Y": [ 1058 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31950": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 966 ],
"B": [ 1058 ],
"Y": [ 1059 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31951": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1060 ],
"B": [ 1059 ],
"Y": [ 1061 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31952": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 302 ],
"B": [ 1059 ],
"Y": [ 1062 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31953": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1063 ],
"B": [ 1060 ],
"Y": [ 1064 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31954": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1065 ],
"B": [ 1066 ],
"Y": [ 1067 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31955": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 302 ],
"B": [ 1067 ],
"Y": [ 1068 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31956": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1064 ],
"B": [ 1068 ],
"Y": [ 1069 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31957": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1069 ],
"B": [ 1066 ],
"S": [ 966 ],
"Y": [ 1070 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31958": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1062 ],
"B": [ 1070 ],
"Y": [ 1071 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31959": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1061 ],
"B": [ 1071 ],
"Y": [ 1072 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31960": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1058 ],
"B": [ 861 ],
"Y": [ 1073 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31961": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 966 ],
"B": [ 1052 ],
"Y": [ 1074 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31962": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1073 ],
"B": [ 1074 ],
"Y": [ 1075 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31963": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1065 ],
"B": [ 1063 ],
"S": [ 1075 ],
"Y": [ 1076 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31964": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1072 ],
"B": [ 1076 ],
"Y": [ 1077 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31965": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 1077 ],
"Y": [ 1078 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31966": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 383 ],
"B": [ 703 ],
"Y": [ 1079 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31967": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1078 ],
"B": [ 1079 ],
"Y": [ 1080 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31968": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 383 ],
"B": [ 361 ],
"Y": [ 1081 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31969": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1081 ],
"B": [ 703 ],
"Y": [ 1082 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31970": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 453 ],
"B": [ 1082 ],
"Y": [ 1083 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31971": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1080 ],
"B": [ 1083 ],
"Y": [ 1084 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31972": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 503 ],
"B": [ 527 ],
"Y": [ 1085 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31973": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 320 ],
"B": [ 457 ],
"S": [ 532 ],
"Y": [ 1086 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31974": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1086 ],
"B": [ 1085 ],
"Y": [ 1087 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31975": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 362 ],
"B": [ 1087 ],
"Y": [ 1088 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31976": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 698 ],
"B": [ 699 ],
"Y": [ 1089 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31977": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1090 ],
"B": [ 1091 ],
"S": [ 1092 ],
"Y": [ 1093 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31978": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1094 ],
"B": [ 1093 ],
"S": [ 1089 ],
"Y": [ 1095 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31979": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1096 ],
"B": [ 1097 ],
"S": [ 1092 ],
"Y": [ 1098 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31980": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1099 ],
"B": [ 1098 ],
"S": [ 1089 ],
"Y": [ 1100 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31981": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1101 ],
"B": [ 1102 ],
"S": [ 1092 ],
"Y": [ 1103 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31982": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1104 ],
"B": [ 1103 ],
"S": [ 1089 ],
"Y": [ 1105 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31983": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1106 ],
"B": [ 1107 ],
"S": [ 1092 ],
"Y": [ 1108 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31984": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1109 ],
"B": [ 1108 ],
"S": [ 1089 ],
"Y": [ 1110 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31985": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1111 ],
"B": [ 1112 ],
"S": [ 1092 ],
"Y": [ 1113 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31986": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1114 ],
"B": [ 1113 ],
"S": [ 1089 ],
"Y": [ 1115 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31987": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1116 ],
"B": [ 1117 ],
"S": [ 1092 ],
"Y": [ 1118 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31988": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1119 ],
"B": [ 1118 ],
"S": [ 1089 ],
"Y": [ 1120 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31989": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1121 ],
"B": [ 1122 ],
"S": [ 1092 ],
"Y": [ 1123 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31990": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1124 ],
"B": [ 1123 ],
"S": [ 1089 ],
"Y": [ 1125 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31991": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1126 ],
"B": [ 1127 ],
"S": [ 1092 ],
"Y": [ 1128 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31992": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1129 ],
"B": [ 1128 ],
"S": [ 1089 ],
"Y": [ 1130 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31993": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1131 ],
"B": [ 1132 ],
"S": [ 1092 ],
"Y": [ 1133 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31994": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1134 ],
"B": [ 1133 ],
"S": [ 1089 ],
"Y": [ 1135 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31995": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1136 ],
"B": [ 1137 ],
"S": [ 1092 ],
"Y": [ 1138 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31996": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1139 ],
"B": [ 1138 ],
"S": [ 1089 ],
"Y": [ 1140 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31997": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1141 ],
"B": [ 1142 ],
"S": [ 1092 ],
"Y": [ 1143 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31998": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1144 ],
"B": [ 1143 ],
"S": [ 1089 ],
"Y": [ 1145 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$31999": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1146 ],
"B": [ 1147 ],
"S": [ 1092 ],
"Y": [ 1148 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32000": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1149 ],
"B": [ 1148 ],
"S": [ 1089 ],
"Y": [ 1150 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32001": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1151 ],
"B": [ 1152 ],
"S": [ 1092 ],
"Y": [ 1153 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32002": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1154 ],
"B": [ 1153 ],
"S": [ 1089 ],
"Y": [ 1155 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32003": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1156 ],
"B": [ 1157 ],
"S": [ 1092 ],
"Y": [ 1158 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32004": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1159 ],
"B": [ 1158 ],
"S": [ 1089 ],
"Y": [ 1160 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32005": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1161 ],
"B": [ 1162 ],
"S": [ 1092 ],
"Y": [ 1163 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32006": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1164 ],
"B": [ 1163 ],
"S": [ 1089 ],
"Y": [ 1165 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32007": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1166 ],
"B": [ 1167 ],
"S": [ 1092 ],
"Y": [ 1168 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32008": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1169 ],
"B": [ 1168 ],
"S": [ 1089 ],
"Y": [ 1170 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32009": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1171 ],
"B": [ 1172 ],
"S": [ 1092 ],
"Y": [ 1173 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32010": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1174 ],
"B": [ 1173 ],
"S": [ 1089 ],
"Y": [ 1175 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32011": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1176 ],
"B": [ 1177 ],
"S": [ 1092 ],
"Y": [ 1178 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32012": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1179 ],
"B": [ 1178 ],
"S": [ 1089 ],
"Y": [ 1180 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32013": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1181 ],
"B": [ 1182 ],
"S": [ 1092 ],
"Y": [ 1183 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32014": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1184 ],
"B": [ 1183 ],
"S": [ 1089 ],
"Y": [ 1185 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32015": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1186 ],
"B": [ 1187 ],
"S": [ 1092 ],
"Y": [ 1188 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32016": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1189 ],
"B": [ 1188 ],
"S": [ 1089 ],
"Y": [ 1190 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32017": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1191 ],
"B": [ 1192 ],
"S": [ 1092 ],
"Y": [ 1193 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32018": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1194 ],
"B": [ 1193 ],
"S": [ 1089 ],
"Y": [ 1195 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32019": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1196 ],
"B": [ 1197 ],
"S": [ 1092 ],
"Y": [ 1198 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32020": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1199 ],
"B": [ 1198 ],
"S": [ 1089 ],
"Y": [ 1200 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32021": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1201 ],
"B": [ 1202 ],
"S": [ 1092 ],
"Y": [ 1203 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32022": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1204 ],
"B": [ 1203 ],
"S": [ 1089 ],
"Y": [ 1205 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32023": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1206 ],
"B": [ 1207 ],
"S": [ 1092 ],
"Y": [ 1208 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32024": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1209 ],
"B": [ 1208 ],
"S": [ 1089 ],
"Y": [ 1210 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32025": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1211 ],
"B": [ 1212 ],
"S": [ 1092 ],
"Y": [ 1213 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32026": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1214 ],
"B": [ 1213 ],
"S": [ 1089 ],
"Y": [ 1215 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32027": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1216 ],
"B": [ 1217 ],
"S": [ 1092 ],
"Y": [ 1218 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32028": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1219 ],
"B": [ 1218 ],
"S": [ 1089 ],
"Y": [ 1220 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32029": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1221 ],
"B": [ 1222 ],
"S": [ 1092 ],
"Y": [ 1223 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32030": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1224 ],
"B": [ 1223 ],
"S": [ 1089 ],
"Y": [ 1225 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32031": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1226 ],
"B": [ 1227 ],
"S": [ 1092 ],
"Y": [ 1228 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32032": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1229 ],
"B": [ 1228 ],
"S": [ 1089 ],
"Y": [ 1230 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32033": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1231 ],
"B": [ 1232 ],
"S": [ 1092 ],
"Y": [ 1233 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32034": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1234 ],
"B": [ 1233 ],
"S": [ 1089 ],
"Y": [ 1235 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32035": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1236 ],
"B": [ 1237 ],
"S": [ 1092 ],
"Y": [ 1238 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32036": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1239 ],
"B": [ 1238 ],
"S": [ 1089 ],
"Y": [ 1240 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32037": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 455 ],
"Y": [ 1241 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32038": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 383 ],
"B": [ 1241 ],
"Y": [ 1242 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32039": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 299 ],
"Y": [ 1243 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32040": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 382 ],
"B": [ 1077 ],
"Y": [ 1244 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32041": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 383 ],
"B": [ 1244 ],
"Y": [ 1245 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32042": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1243 ],
"B": [ 1245 ],
"Y": [ 1246 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32043": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1242 ],
"B": [ 1246 ],
"Y": [ 1247 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32044": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1248 ],
"B": [ 383 ],
"Y": [ 1249 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32045": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1250 ],
"B": [ 383 ],
"Y": [ 1251 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32046": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1252 ],
"B": [ 383 ],
"Y": [ 1253 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32047": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1254 ],
"B": [ 383 ],
"Y": [ 1255 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32048": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1095 ],
"Y": [ 1256 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32049": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 457 ],
"B": [ 454 ],
"S": [ 1095 ],
"Y": [ 1257 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32050": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1258 ],
"B": [ 1259 ],
"S": [ 1092 ],
"Y": [ 1260 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32051": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1089 ],
"B": [ 1260 ],
"Y": [ 1261 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32052": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 516 ],
"B": [ 1261 ],
"Y": [ 1262 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32053": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 519 ],
"B": [ 1095 ],
"Y": [ 1263 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32054": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 519 ],
"B": [ 1095 ],
"Y": [ 1264 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32055": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1262 ],
"B": [ 1264 ],
"Y": [ 1265 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32056": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1262 ],
"B": [ 1264 ],
"Y": [ 1266 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32057": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1265 ],
"B": [ 1241 ],
"Y": [ 1267 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32058": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1266 ],
"B": [ 1267 ],
"Y": [ 1268 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32059": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1257 ],
"B": [ 1268 ],
"Y": [ 1269 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32060": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1263 ],
"B": [ 1266 ],
"Y": [ 1270 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32061": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 522 ],
"B": [ 1100 ],
"Y": [ 1271 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32062": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 522 ],
"B": [ 1100 ],
"Y": [ 1272 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32063": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1270 ],
"B": [ 1272 ],
"Y": [ 1273 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32064": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1270 ],
"B": [ 1272 ],
"Y": [ 1274 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32065": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1100 ],
"B": [ 1256 ],
"Y": [ 1275 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32066": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1095 ],
"B": [ 1100 ],
"Y": [ 1276 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32067": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1274 ],
"B": [ 1275 ],
"S": [ 1241 ],
"Y": [ 1277 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32068": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1271 ],
"B": [ 1273 ],
"Y": [ 1278 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32069": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1279 ],
"B": [ 1105 ],
"Y": [ 1280 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32070": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1279 ],
"B": [ 1105 ],
"Y": [ 1281 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32071": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1280 ],
"B": [ 1281 ],
"Y": [ 1282 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32072": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1278 ],
"B": [ 1282 ],
"Y": [ 1283 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32073": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1278 ],
"B": [ 1282 ],
"Y": [ 1284 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32074": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1284 ],
"Y": [ 1285 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32075": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1283 ],
"B": [ 1285 ],
"Y": [ 1286 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32076": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1105 ],
"B": [ 1276 ],
"Y": [ 1287 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32077": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1105 ],
"B": [ 1276 ],
"Y": [ 1288 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32078": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1288 ],
"Y": [ 1289 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32079": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1289 ],
"Y": [ 1290 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32080": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1286 ],
"B": [ 1290 ],
"Y": [ 1291 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32081": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1105 ],
"Y": [ 1292 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32082": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1291 ],
"B": [ 1292 ],
"Y": [ 1293 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32083": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1294 ],
"B": [ 1110 ],
"Y": [ 1295 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32084": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1294 ],
"B": [ 1110 ],
"Y": [ 1296 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32085": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1278 ],
"B": [ 1280 ],
"Y": [ 1297 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32086": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1281 ],
"B": [ 1297 ],
"Y": [ 1298 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32087": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1296 ],
"B": [ 1298 ],
"Y": [ 1299 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32088": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1296 ],
"B": [ 1298 ],
"Y": [ 1300 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32089": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1287 ],
"Y": [ 1301 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32090": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1110 ],
"B": [ 1287 ],
"Y": [ 1302 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32091": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1302 ],
"Y": [ 1303 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32092": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1110 ],
"B": [ 1301 ],
"Y": [ 1304 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32093": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1300 ],
"B": [ 1304 ],
"S": [ 1241 ],
"Y": [ 1305 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32094": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1295 ],
"B": [ 1299 ],
"Y": [ 1306 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32095": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1307 ],
"B": [ 1115 ],
"Y": [ 1308 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32096": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1307 ],
"B": [ 1115 ],
"Y": [ 1309 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32097": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1306 ],
"B": [ 1309 ],
"Y": [ 1310 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32098": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1306 ],
"B": [ 1309 ],
"Y": [ 1311 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32099": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1115 ],
"B": [ 1303 ],
"Y": [ 1312 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32100": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1115 ],
"B": [ 1302 ],
"Y": [ 1313 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32101": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1311 ],
"B": [ 1312 ],
"S": [ 1241 ],
"Y": [ 1314 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32102": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1120 ],
"Y": [ 1315 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32103": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1308 ],
"B": [ 1310 ],
"Y": [ 1316 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32104": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1317 ],
"B": [ 1120 ],
"Y": [ 1318 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32105": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1317 ],
"B": [ 1120 ],
"Y": [ 1319 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32106": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1316 ],
"B": [ 1319 ],
"Y": [ 1320 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32107": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1316 ],
"B": [ 1319 ],
"Y": [ 1321 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32108": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1321 ],
"Y": [ 1322 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32109": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1120 ],
"B": [ 1313 ],
"Y": [ 1323 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32110": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1323 ],
"Y": [ 1324 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32111": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1120 ],
"B": [ 1313 ],
"Y": [ 1325 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32112": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1324 ],
"B": [ 1325 ],
"Y": [ 1326 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32113": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1322 ],
"Y": [ 1327 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32114": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1326 ],
"B": [ 1327 ],
"Y": [ 1328 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32115": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1315 ],
"B": [ 1328 ],
"Y": [ 1329 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32116": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1318 ],
"B": [ 1320 ],
"Y": [ 1330 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32117": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1331 ],
"B": [ 1125 ],
"Y": [ 1332 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32118": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1331 ],
"B": [ 1125 ],
"Y": [ 1333 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32119": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1332 ],
"B": [ 1333 ],
"Y": [ 1334 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32120": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1330 ],
"B": [ 1334 ],
"Y": [ 1335 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32121": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1335 ],
"Y": [ 1336 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32122": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1125 ],
"B": [ 1323 ],
"Y": [ 1337 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32123": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1125 ],
"Y": [ 1338 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32124": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1125 ],
"B": [ 1323 ],
"Y": [ 1339 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32125": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 456 ],
"B": [ 1339 ],
"Y": [ 1340 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32126": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1338 ],
"B": [ 1340 ],
"Y": [ 1341 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32127": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1336 ],
"B": [ 1341 ],
"Y": [ 1342 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32128": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1130 ],
"Y": [ 1343 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32129": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1130 ],
"B": [ 1337 ],
"Y": [ 1344 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32130": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1130 ],
"B": [ 1337 ],
"Y": [ 1345 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32131": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1345 ],
"Y": [ 1346 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32132": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1346 ],
"Y": [ 1347 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32133": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1348 ],
"B": [ 1130 ],
"Y": [ 1349 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32134": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1348 ],
"B": [ 1130 ],
"Y": [ 1350 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32135": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1330 ],
"B": [ 1333 ],
"Y": [ 1351 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32136": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1332 ],
"B": [ 1351 ],
"Y": [ 1352 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32137": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1350 ],
"B": [ 1352 ],
"Y": [ 1353 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32138": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1350 ],
"B": [ 1352 ],
"Y": [ 1354 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32139": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1354 ],
"Y": [ 1355 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32140": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1353 ],
"B": [ 1355 ],
"Y": [ 1356 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32141": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1347 ],
"B": [ 1356 ],
"Y": [ 1357 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32142": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1343 ],
"B": [ 1357 ],
"Y": [ 1358 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32143": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1135 ],
"Y": [ 1359 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32144": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1135 ],
"B": [ 1344 ],
"Y": [ 1360 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32145": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1135 ],
"B": [ 1344 ],
"Y": [ 1361 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32146": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1361 ],
"Y": [ 1362 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32147": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1362 ],
"Y": [ 1363 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32148": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1349 ],
"B": [ 1353 ],
"Y": [ 1364 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32149": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1365 ],
"B": [ 1135 ],
"Y": [ 1366 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32150": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1365 ],
"B": [ 1135 ],
"Y": [ 1367 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32151": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1366 ],
"B": [ 1367 ],
"Y": [ 1368 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32152": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1364 ],
"B": [ 1368 ],
"Y": [ 1369 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32153": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1369 ],
"Y": [ 1370 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32154": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1363 ],
"B": [ 1370 ],
"Y": [ 1371 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32155": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1359 ],
"B": [ 1371 ],
"Y": [ 1372 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32156": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1140 ],
"Y": [ 1373 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32157": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1140 ],
"B": [ 1360 ],
"Y": [ 1374 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32158": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1140 ],
"B": [ 1360 ],
"Y": [ 1375 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32159": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1375 ],
"Y": [ 1376 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32160": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1376 ],
"Y": [ 1377 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32161": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 513 ],
"B": [ 1140 ],
"Y": [ 1378 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32162": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 513 ],
"B": [ 1140 ],
"Y": [ 1379 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32163": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1364 ],
"B": [ 1366 ],
"Y": [ 1380 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32164": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1367 ],
"B": [ 1380 ],
"Y": [ 1381 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32165": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1379 ],
"B": [ 1381 ],
"Y": [ 1382 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32166": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1379 ],
"B": [ 1381 ],
"Y": [ 1383 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32167": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1383 ],
"Y": [ 1384 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32168": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1382 ],
"B": [ 1384 ],
"Y": [ 1385 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32169": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1377 ],
"B": [ 1385 ],
"Y": [ 1386 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32170": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1373 ],
"B": [ 1386 ],
"Y": [ 1387 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32171": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1378 ],
"B": [ 1382 ],
"Y": [ 1388 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32172": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1389 ],
"B": [ 1145 ],
"Y": [ 1390 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32173": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1389 ],
"B": [ 1145 ],
"Y": [ 1391 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32174": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1389 ],
"B": [ 1145 ],
"Y": [ 1392 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32175": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1388 ],
"B": [ 1392 ],
"Y": [ 1393 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32176": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1388 ],
"B": [ 1392 ],
"Y": [ 1394 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32177": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1394 ],
"B": [ 1241 ],
"Y": [ 1395 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32178": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1393 ],
"B": [ 1395 ],
"Y": [ 1396 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32179": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1145 ],
"Y": [ 1397 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32180": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1145 ],
"B": [ 1374 ],
"Y": [ 1398 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32181": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1145 ],
"B": [ 1374 ],
"Y": [ 1399 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32182": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 456 ],
"B": [ 1399 ],
"Y": [ 1400 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32183": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1397 ],
"B": [ 1400 ],
"Y": [ 1401 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32184": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1396 ],
"B": [ 1401 ],
"Y": [ 1402 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32185": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1150 ],
"Y": [ 1403 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32186": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1150 ],
"B": [ 1398 ],
"Y": [ 1404 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32187": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1150 ],
"B": [ 1398 ],
"Y": [ 1405 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32188": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1405 ],
"Y": [ 1406 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32189": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1406 ],
"Y": [ 1407 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32190": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1408 ],
"B": [ 1150 ],
"Y": [ 1409 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32191": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1408 ],
"B": [ 1150 ],
"Y": [ 1410 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32192": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1388 ],
"B": [ 1391 ],
"Y": [ 1411 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32193": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1390 ],
"B": [ 1411 ],
"Y": [ 1412 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32194": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1410 ],
"B": [ 1412 ],
"Y": [ 1413 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32195": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1410 ],
"B": [ 1412 ],
"Y": [ 1414 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32196": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1414 ],
"Y": [ 1415 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32197": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1413 ],
"B": [ 1415 ],
"Y": [ 1416 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32198": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1407 ],
"B": [ 1416 ],
"Y": [ 1417 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32199": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1403 ],
"B": [ 1417 ],
"Y": [ 1418 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32200": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1155 ],
"Y": [ 1419 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32201": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1155 ],
"B": [ 1404 ],
"Y": [ 1420 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32202": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1155 ],
"B": [ 1404 ],
"Y": [ 1421 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32203": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1421 ],
"Y": [ 1422 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32204": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1422 ],
"Y": [ 1423 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32205": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1409 ],
"B": [ 1413 ],
"Y": [ 1424 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32206": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1425 ],
"B": [ 1155 ],
"Y": [ 1426 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32207": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1425 ],
"B": [ 1155 ],
"Y": [ 1427 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32208": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1426 ],
"B": [ 1427 ],
"Y": [ 1428 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32209": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1424 ],
"B": [ 1428 ],
"Y": [ 1429 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32210": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1429 ],
"Y": [ 1430 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32211": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1423 ],
"B": [ 1430 ],
"Y": [ 1431 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32212": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1419 ],
"B": [ 1431 ],
"Y": [ 1432 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32213": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1160 ],
"Y": [ 1433 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32214": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1160 ],
"B": [ 1420 ],
"Y": [ 1434 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32215": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1160 ],
"B": [ 1420 ],
"Y": [ 1435 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32216": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1435 ],
"Y": [ 1436 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32217": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1436 ],
"Y": [ 1437 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32218": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 512 ],
"B": [ 1160 ],
"Y": [ 1438 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32219": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 512 ],
"B": [ 1160 ],
"Y": [ 1439 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32220": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1424 ],
"B": [ 1427 ],
"Y": [ 1440 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32221": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1426 ],
"B": [ 1440 ],
"Y": [ 1441 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32222": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1439 ],
"B": [ 1441 ],
"Y": [ 1442 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32223": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1439 ],
"B": [ 1441 ],
"Y": [ 1443 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32224": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1443 ],
"Y": [ 1444 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32225": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1442 ],
"B": [ 1444 ],
"Y": [ 1445 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32226": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1437 ],
"B": [ 1445 ],
"Y": [ 1446 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32227": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1433 ],
"B": [ 1446 ],
"Y": [ 1447 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32228": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1438 ],
"B": [ 1442 ],
"Y": [ 1448 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32229": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 515 ],
"B": [ 1165 ],
"Y": [ 1449 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32230": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 515 ],
"B": [ 1165 ],
"Y": [ 1450 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32231": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 515 ],
"B": [ 1165 ],
"Y": [ 1451 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32232": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1448 ],
"B": [ 1451 ],
"Y": [ 1452 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32233": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1448 ],
"B": [ 1451 ],
"Y": [ 1453 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32234": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1453 ],
"B": [ 1241 ],
"Y": [ 1454 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32235": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1452 ],
"B": [ 1454 ],
"Y": [ 1455 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32236": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1165 ],
"Y": [ 1456 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32237": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1165 ],
"B": [ 1434 ],
"Y": [ 1457 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32238": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1165 ],
"B": [ 1434 ],
"Y": [ 1458 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32239": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 456 ],
"B": [ 1458 ],
"Y": [ 1459 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32240": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1456 ],
"B": [ 1459 ],
"Y": [ 1460 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32241": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1455 ],
"B": [ 1460 ],
"Y": [ 1461 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32242": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1170 ],
"Y": [ 1462 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32243": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1170 ],
"B": [ 1457 ],
"Y": [ 1463 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32244": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1170 ],
"B": [ 1457 ],
"Y": [ 1464 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32245": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1464 ],
"Y": [ 1465 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32246": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1465 ],
"Y": [ 1466 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32247": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 518 ],
"B": [ 1170 ],
"Y": [ 1467 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32248": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 518 ],
"B": [ 1170 ],
"Y": [ 1468 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32249": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1448 ],
"B": [ 1450 ],
"Y": [ 1469 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32250": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1449 ],
"B": [ 1469 ],
"Y": [ 1470 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32251": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1468 ],
"B": [ 1470 ],
"Y": [ 1471 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32252": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1468 ],
"B": [ 1470 ],
"Y": [ 1472 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32253": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1472 ],
"Y": [ 1473 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32254": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1471 ],
"B": [ 1473 ],
"Y": [ 1474 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32255": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1466 ],
"B": [ 1474 ],
"Y": [ 1475 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32256": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1462 ],
"B": [ 1475 ],
"Y": [ 1476 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32257": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1467 ],
"B": [ 1471 ],
"Y": [ 1477 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32258": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 521 ],
"B": [ 1175 ],
"Y": [ 1478 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32259": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 521 ],
"B": [ 1175 ],
"Y": [ 1479 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32260": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 521 ],
"B": [ 1175 ],
"Y": [ 1480 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32261": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1477 ],
"B": [ 1480 ],
"Y": [ 1481 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32262": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1477 ],
"B": [ 1480 ],
"Y": [ 1482 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32263": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1482 ],
"B": [ 1241 ],
"Y": [ 1483 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32264": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1481 ],
"B": [ 1483 ],
"Y": [ 1484 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32265": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1175 ],
"Y": [ 1485 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32266": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1175 ],
"B": [ 1463 ],
"Y": [ 1486 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32267": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1175 ],
"B": [ 1463 ],
"Y": [ 1487 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32268": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 456 ],
"B": [ 1487 ],
"Y": [ 1488 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32269": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1485 ],
"B": [ 1488 ],
"Y": [ 1489 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32270": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1484 ],
"B": [ 1489 ],
"Y": [ 1490 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32271": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1180 ],
"Y": [ 1491 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32272": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1180 ],
"B": [ 1486 ],
"Y": [ 1492 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32273": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1180 ],
"B": [ 1486 ],
"Y": [ 1493 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32274": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1493 ],
"Y": [ 1494 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32275": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1494 ],
"Y": [ 1495 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32276": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1496 ],
"B": [ 1180 ],
"Y": [ 1497 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32277": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1496 ],
"B": [ 1180 ],
"Y": [ 1498 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32278": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1477 ],
"B": [ 1479 ],
"Y": [ 1499 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32279": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1478 ],
"B": [ 1499 ],
"Y": [ 1500 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32280": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1498 ],
"B": [ 1500 ],
"Y": [ 1501 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32281": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1498 ],
"B": [ 1500 ],
"Y": [ 1502 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32282": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1502 ],
"Y": [ 1503 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32283": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1501 ],
"B": [ 1503 ],
"Y": [ 1504 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32284": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1495 ],
"B": [ 1504 ],
"Y": [ 1505 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32285": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1491 ],
"B": [ 1505 ],
"Y": [ 1506 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32286": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1185 ],
"Y": [ 1507 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32287": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1185 ],
"B": [ 1492 ],
"Y": [ 1508 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32288": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1185 ],
"B": [ 1492 ],
"Y": [ 1509 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32289": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1509 ],
"Y": [ 1510 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32290": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1510 ],
"Y": [ 1511 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32291": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1497 ],
"B": [ 1501 ],
"Y": [ 1512 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32292": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1185 ],
"Y": [ 1514 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32293": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1185 ],
"Y": [ 1515 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32294": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1514 ],
"B": [ 1515 ],
"Y": [ 1516 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32295": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1512 ],
"B": [ 1516 ],
"Y": [ 1517 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32296": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1517 ],
"Y": [ 1518 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32297": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1511 ],
"B": [ 1518 ],
"Y": [ 1519 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32298": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1507 ],
"B": [ 1519 ],
"Y": [ 1520 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32299": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1190 ],
"Y": [ 1521 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32300": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1190 ],
"B": [ 1508 ],
"Y": [ 1522 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32301": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1190 ],
"B": [ 1508 ],
"Y": [ 1523 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32302": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1523 ],
"Y": [ 1524 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32303": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1524 ],
"Y": [ 1525 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32304": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1190 ],
"Y": [ 1526 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32305": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1190 ],
"Y": [ 1527 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32306": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1512 ],
"B": [ 1515 ],
"Y": [ 1528 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32307": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1514 ],
"B": [ 1528 ],
"Y": [ 1529 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32308": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1527 ],
"B": [ 1529 ],
"Y": [ 1530 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32309": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1527 ],
"B": [ 1529 ],
"Y": [ 1531 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32310": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1531 ],
"Y": [ 1532 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32311": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1530 ],
"B": [ 1532 ],
"Y": [ 1533 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32312": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1525 ],
"B": [ 1533 ],
"Y": [ 1534 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32313": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1521 ],
"B": [ 1534 ],
"Y": [ 1535 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32314": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1526 ],
"B": [ 1530 ],
"Y": [ 1536 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32315": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1195 ],
"Y": [ 1537 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32316": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1195 ],
"Y": [ 1538 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32317": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1536 ],
"B": [ 1538 ],
"Y": [ 1539 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32318": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1536 ],
"B": [ 1538 ],
"Y": [ 1540 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32319": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1540 ],
"Y": [ 1541 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32320": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1539 ],
"B": [ 1541 ],
"Y": [ 1542 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32321": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1195 ],
"B": [ 1522 ],
"Y": [ 1543 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32322": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1195 ],
"B": [ 1522 ],
"Y": [ 1544 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32323": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1544 ],
"Y": [ 1545 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32324": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1545 ],
"Y": [ 1546 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32325": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1542 ],
"B": [ 1546 ],
"Y": [ 1547 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32326": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1195 ],
"Y": [ 1548 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32327": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1547 ],
"B": [ 1548 ],
"Y": [ 1549 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32328": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1200 ],
"Y": [ 1550 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32329": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1526 ],
"B": [ 1537 ],
"Y": [ 1551 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32330": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1530 ],
"B": [ 1538 ],
"Y": [ 1552 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32331": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1551 ],
"B": [ 1552 ],
"Y": [ 1553 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32332": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1200 ],
"Y": [ 1554 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32333": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1200 ],
"Y": [ 1555 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32334": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1553 ],
"B": [ 1555 ],
"Y": [ 1556 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32335": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1553 ],
"B": [ 1555 ],
"Y": [ 1557 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32336": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1557 ],
"Y": [ 1558 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32337": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1556 ],
"B": [ 1558 ],
"Y": [ 1559 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32338": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1200 ],
"B": [ 1543 ],
"Y": [ 1560 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32339": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1200 ],
"B": [ 1543 ],
"Y": [ 1561 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32340": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1561 ],
"Y": [ 1562 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32341": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1562 ],
"Y": [ 1563 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32342": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1559 ],
"B": [ 1563 ],
"Y": [ 1564 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32343": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1550 ],
"B": [ 1564 ],
"Y": [ 1565 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32344": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1554 ],
"B": [ 1556 ],
"Y": [ 1566 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32345": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1205 ],
"Y": [ 1567 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32346": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1205 ],
"Y": [ 1568 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32347": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1566 ],
"B": [ 1568 ],
"Y": [ 1569 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32348": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1566 ],
"B": [ 1568 ],
"Y": [ 1570 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32349": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1570 ],
"Y": [ 1571 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32350": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1569 ],
"B": [ 1571 ],
"Y": [ 1572 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32351": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1205 ],
"B": [ 1560 ],
"Y": [ 1573 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32352": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1205 ],
"B": [ 1560 ],
"Y": [ 1574 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32353": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1574 ],
"Y": [ 1575 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32354": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1575 ],
"Y": [ 1576 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32355": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1572 ],
"B": [ 1576 ],
"Y": [ 1577 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32356": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1205 ],
"Y": [ 1578 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32357": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1577 ],
"B": [ 1578 ],
"Y": [ 1579 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32358": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1210 ],
"Y": [ 1580 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32359": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1210 ],
"B": [ 1573 ],
"Y": [ 1581 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32360": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1210 ],
"B": [ 1573 ],
"Y": [ 1582 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32361": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1582 ],
"Y": [ 1583 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32362": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1583 ],
"Y": [ 1584 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32363": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1555 ],
"B": [ 1568 ],
"Y": [ 1585 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32364": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1552 ],
"B": [ 1585 ],
"Y": [ 1586 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32365": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1551 ],
"B": [ 1585 ],
"Y": [ 1587 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32366": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1554 ],
"B": [ 1567 ],
"Y": [ 1588 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32367": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1587 ],
"B": [ 1588 ],
"Y": [ 1589 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32368": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1586 ],
"B": [ 1589 ],
"Y": [ 1590 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32369": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1210 ],
"Y": [ 1591 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32370": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1210 ],
"Y": [ 1592 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32371": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1590 ],
"B": [ 1592 ],
"Y": [ 1593 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32372": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1590 ],
"B": [ 1592 ],
"Y": [ 1594 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32373": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1594 ],
"Y": [ 1595 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32374": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1593 ],
"B": [ 1595 ],
"Y": [ 1596 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32375": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1584 ],
"B": [ 1596 ],
"Y": [ 1597 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32376": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1580 ],
"B": [ 1597 ],
"Y": [ 1598 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32377": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1591 ],
"B": [ 1593 ],
"Y": [ 1599 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32378": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1215 ],
"Y": [ 1600 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32379": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1215 ],
"Y": [ 1601 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32380": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1599 ],
"B": [ 1601 ],
"Y": [ 1602 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32381": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1599 ],
"B": [ 1601 ],
"Y": [ 1603 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32382": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1603 ],
"Y": [ 1604 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32383": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1602 ],
"B": [ 1604 ],
"Y": [ 1605 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32384": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1215 ],
"B": [ 1581 ],
"Y": [ 1606 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32385": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1215 ],
"B": [ 1581 ],
"Y": [ 1607 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32386": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1607 ],
"Y": [ 1608 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32387": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1608 ],
"Y": [ 1609 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32388": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1605 ],
"B": [ 1609 ],
"Y": [ 1610 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32389": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1215 ],
"Y": [ 1611 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32390": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1610 ],
"B": [ 1611 ],
"Y": [ 1612 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32391": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1220 ],
"Y": [ 1613 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32392": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1591 ],
"B": [ 1600 ],
"Y": [ 1614 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32393": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1593 ],
"B": [ 1601 ],
"Y": [ 1615 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32394": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1614 ],
"B": [ 1615 ],
"Y": [ 1616 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32395": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1220 ],
"Y": [ 1617 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32396": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1220 ],
"Y": [ 1618 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32397": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1616 ],
"B": [ 1618 ],
"Y": [ 1619 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32398": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1616 ],
"B": [ 1618 ],
"Y": [ 1620 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32399": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1620 ],
"Y": [ 1621 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32400": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1619 ],
"B": [ 1621 ],
"Y": [ 1622 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32401": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1220 ],
"B": [ 1606 ],
"Y": [ 1623 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32402": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1220 ],
"B": [ 1606 ],
"Y": [ 1624 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32403": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1624 ],
"Y": [ 1625 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32404": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1625 ],
"Y": [ 1626 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32405": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1622 ],
"B": [ 1626 ],
"Y": [ 1627 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32406": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1613 ],
"B": [ 1627 ],
"Y": [ 1628 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32407": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1617 ],
"B": [ 1619 ],
"Y": [ 1629 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32408": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1225 ],
"Y": [ 1630 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32409": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1225 ],
"Y": [ 1631 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32410": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1629 ],
"B": [ 1631 ],
"Y": [ 1632 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32411": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1629 ],
"B": [ 1631 ],
"Y": [ 1633 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32412": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1633 ],
"Y": [ 1634 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32413": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1632 ],
"B": [ 1634 ],
"Y": [ 1635 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32414": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1225 ],
"B": [ 1623 ],
"Y": [ 1636 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32415": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1225 ],
"B": [ 1623 ],
"Y": [ 1637 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32416": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1637 ],
"Y": [ 1638 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32417": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1638 ],
"Y": [ 1639 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32418": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1635 ],
"B": [ 1639 ],
"Y": [ 1640 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32419": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1225 ],
"Y": [ 1641 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32420": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1640 ],
"B": [ 1641 ],
"Y": [ 1642 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32421": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1230 ],
"Y": [ 1643 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32422": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1230 ],
"B": [ 1636 ],
"Y": [ 1644 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32423": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1230 ],
"B": [ 1636 ],
"Y": [ 1645 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32424": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1645 ],
"Y": [ 1646 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32425": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1646 ],
"Y": [ 1647 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32426": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1618 ],
"B": [ 1631 ],
"Y": [ 1648 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32427": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1615 ],
"B": [ 1648 ],
"Y": [ 1649 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32428": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1614 ],
"B": [ 1648 ],
"Y": [ 1650 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32429": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1617 ],
"B": [ 1630 ],
"Y": [ 1651 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32430": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1650 ],
"B": [ 1651 ],
"Y": [ 1652 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32431": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1649 ],
"B": [ 1652 ],
"Y": [ 1653 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32432": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1230 ],
"Y": [ 1654 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32433": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1230 ],
"Y": [ 1655 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32434": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1653 ],
"B": [ 1655 ],
"Y": [ 1656 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32435": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1653 ],
"B": [ 1655 ],
"Y": [ 1657 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32436": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1657 ],
"Y": [ 1658 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32437": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1656 ],
"B": [ 1658 ],
"Y": [ 1659 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32438": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1647 ],
"B": [ 1659 ],
"Y": [ 1660 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32439": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1643 ],
"B": [ 1660 ],
"Y": [ 1661 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32440": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1235 ],
"B": [ 1644 ],
"Y": [ 1662 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32441": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1644 ],
"Y": [ 1663 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32442": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1235 ],
"B": [ 1663 ],
"Y": [ 1664 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32443": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1654 ],
"B": [ 1656 ],
"Y": [ 1665 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32444": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1235 ],
"Y": [ 1666 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32445": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1235 ],
"Y": [ 1667 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32446": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1666 ],
"B": [ 1667 ],
"Y": [ 1668 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32447": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1665 ],
"B": [ 1668 ],
"Y": [ 1669 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32448": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1669 ],
"B": [ 1664 ],
"S": [ 1241 ],
"Y": [ 1670 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32449": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1665 ],
"B": [ 1666 ],
"Y": [ 1671 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32450": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1667 ],
"B": [ 1671 ],
"Y": [ 1672 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32451": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1513 ],
"B": [ 1240 ],
"Y": [ 1673 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32452": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1672 ],
"B": [ 1673 ],
"Y": [ 1674 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32453": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1672 ],
"B": [ 1673 ],
"Y": [ 1675 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32454": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 455 ],
"B": [ 1675 ],
"Y": [ 1676 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32455": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1674 ],
"B": [ 1676 ],
"Y": [ 1677 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32456": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1240 ],
"B": [ 1662 ],
"Y": [ 1678 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32457": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1678 ],
"Y": [ 1679 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32458": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1241 ],
"B": [ 1679 ],
"Y": [ 1680 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32459": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1677 ],
"B": [ 1680 ],
"Y": [ 1681 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32460": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 454 ],
"B": [ 1240 ],
"Y": [ 1682 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32461": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1681 ],
"B": [ 1682 ],
"Y": [ 1683 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32462": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 699 ],
"B": [ 698 ],
"Y": [ 1684 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32463": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1685 ],
"B": [ 1686 ],
"S": [ 1092 ],
"Y": [ 1687 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32464": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1687 ],
"B": [ 1684 ],
"Y": [ 1688 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32465": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1260 ],
"B": [ 1684 ],
"Y": [ 1689 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32466": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1093 ],
"B": [ 304 ],
"S": [ 1684 ],
"Y": [ 1690 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32467": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 303 ],
"B": [ 1691 ],
"Y": [ 1692 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32468": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 303 ],
"B": [ 1691 ],
"Y": [ 1693 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32469": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1098 ],
"B": [ 1693 ],
"S": [ 1684 ],
"Y": [ 1694 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32470": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1695 ],
"B": [ 1692 ],
"Y": [ 1696 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32471": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1695 ],
"B": [ 1692 ],
"Y": [ 1697 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32472": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1103 ],
"B": [ 1697 ],
"S": [ 1684 ],
"Y": [ 1698 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32473": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1699 ],
"B": [ 1696 ],
"Y": [ 1700 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32474": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1699 ],
"B": [ 1696 ],
"Y": [ 1701 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32475": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1108 ],
"B": [ 1701 ],
"S": [ 1684 ],
"Y": [ 1702 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32476": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1703 ],
"B": [ 1700 ],
"Y": [ 1704 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32477": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1703 ],
"B": [ 1700 ],
"Y": [ 1705 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32478": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1113 ],
"B": [ 1705 ],
"S": [ 1684 ],
"Y": [ 1706 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32479": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1707 ],
"B": [ 1704 ],
"Y": [ 1708 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32480": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1707 ],
"B": [ 1704 ],
"Y": [ 1709 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32481": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1118 ],
"B": [ 1709 ],
"S": [ 1684 ],
"Y": [ 1710 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32482": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1711 ],
"B": [ 1708 ],
"Y": [ 1712 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32483": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1711 ],
"B": [ 1708 ],
"Y": [ 1713 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32484": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1123 ],
"B": [ 1713 ],
"S": [ 1684 ],
"Y": [ 1714 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32485": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1715 ],
"B": [ 1712 ],
"Y": [ 1716 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32486": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1715 ],
"B": [ 1712 ],
"Y": [ 1717 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32487": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1128 ],
"B": [ 1717 ],
"S": [ 1684 ],
"Y": [ 1718 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32488": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1719 ],
"B": [ 1716 ],
"Y": [ 1720 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32489": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1719 ],
"B": [ 1716 ],
"Y": [ 1721 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32490": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1133 ],
"B": [ 1721 ],
"S": [ 1684 ],
"Y": [ 1722 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32491": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1723 ],
"B": [ 1720 ],
"Y": [ 1724 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32492": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1723 ],
"B": [ 1720 ],
"Y": [ 1725 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32493": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1138 ],
"B": [ 1725 ],
"S": [ 1684 ],
"Y": [ 1726 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32494": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1727 ],
"B": [ 1724 ],
"Y": [ 1728 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32495": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1727 ],
"B": [ 1724 ],
"Y": [ 1729 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32496": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1143 ],
"B": [ 1729 ],
"S": [ 1684 ],
"Y": [ 1730 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32497": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1731 ],
"B": [ 1728 ],
"Y": [ 1732 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32498": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1731 ],
"B": [ 1728 ],
"Y": [ 1733 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32499": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1148 ],
"B": [ 1733 ],
"S": [ 1684 ],
"Y": [ 1734 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32500": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1735 ],
"B": [ 1732 ],
"Y": [ 1736 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32501": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1735 ],
"B": [ 1732 ],
"Y": [ 1737 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32502": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1153 ],
"B": [ 1737 ],
"S": [ 1684 ],
"Y": [ 1738 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32503": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1739 ],
"B": [ 1736 ],
"Y": [ 1740 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32504": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1739 ],
"B": [ 1736 ],
"Y": [ 1741 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32505": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1158 ],
"B": [ 1741 ],
"S": [ 1684 ],
"Y": [ 1742 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32506": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1743 ],
"B": [ 1740 ],
"Y": [ 1744 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32507": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1743 ],
"B": [ 1740 ],
"Y": [ 1745 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32508": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1163 ],
"B": [ 1745 ],
"S": [ 1684 ],
"Y": [ 1746 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32509": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1747 ],
"B": [ 1744 ],
"Y": [ 1748 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32510": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1747 ],
"B": [ 1744 ],
"Y": [ 1749 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32511": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1168 ],
"B": [ 1749 ],
"S": [ 1684 ],
"Y": [ 1750 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32512": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1751 ],
"B": [ 1748 ],
"Y": [ 1752 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32513": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1751 ],
"B": [ 1748 ],
"Y": [ 1753 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32514": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1173 ],
"B": [ 1753 ],
"S": [ 1684 ],
"Y": [ 1754 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32515": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1755 ],
"B": [ 1752 ],
"Y": [ 1756 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32516": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1755 ],
"B": [ 1752 ],
"Y": [ 1757 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32517": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1178 ],
"B": [ 1757 ],
"S": [ 1684 ],
"Y": [ 1758 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32518": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1759 ],
"B": [ 1756 ],
"Y": [ 1760 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32519": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1759 ],
"B": [ 1756 ],
"Y": [ 1761 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32520": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1183 ],
"B": [ 1761 ],
"S": [ 1684 ],
"Y": [ 1762 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32521": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1763 ],
"B": [ 1760 ],
"Y": [ 1764 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32522": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1763 ],
"B": [ 1760 ],
"Y": [ 1765 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32523": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1188 ],
"B": [ 1765 ],
"S": [ 1684 ],
"Y": [ 1766 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32524": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1767 ],
"B": [ 1764 ],
"Y": [ 1768 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32525": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1767 ],
"B": [ 1764 ],
"Y": [ 1769 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32526": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1193 ],
"B": [ 1769 ],
"S": [ 1684 ],
"Y": [ 1770 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32527": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1771 ],
"B": [ 1768 ],
"Y": [ 1772 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32528": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1771 ],
"B": [ 1768 ],
"Y": [ 1773 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32529": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1198 ],
"B": [ 1773 ],
"S": [ 1684 ],
"Y": [ 1774 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32530": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1775 ],
"B": [ 1772 ],
"Y": [ 1776 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32531": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1775 ],
"B": [ 1772 ],
"Y": [ 1777 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32532": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1203 ],
"B": [ 1777 ],
"S": [ 1684 ],
"Y": [ 1778 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32533": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1779 ],
"B": [ 1776 ],
"Y": [ 1780 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32534": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1779 ],
"B": [ 1776 ],
"Y": [ 1781 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32535": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1208 ],
"B": [ 1781 ],
"S": [ 1684 ],
"Y": [ 1782 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32536": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1783 ],
"B": [ 1780 ],
"Y": [ 1784 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32537": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1783 ],
"B": [ 1780 ],
"Y": [ 1785 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32538": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1213 ],
"B": [ 1785 ],
"S": [ 1684 ],
"Y": [ 1786 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32539": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1787 ],
"B": [ 1784 ],
"Y": [ 1788 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32540": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1787 ],
"B": [ 1784 ],
"Y": [ 1789 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32541": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1218 ],
"B": [ 1789 ],
"S": [ 1684 ],
"Y": [ 1790 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32542": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1791 ],
"B": [ 1788 ],
"Y": [ 1792 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32543": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1791 ],
"B": [ 1788 ],
"Y": [ 1793 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32544": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1223 ],
"B": [ 1793 ],
"S": [ 1684 ],
"Y": [ 1794 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32545": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1795 ],
"B": [ 1792 ],
"Y": [ 1796 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32546": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1795 ],
"B": [ 1792 ],
"Y": [ 1797 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32547": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1228 ],
"B": [ 1797 ],
"S": [ 1684 ],
"Y": [ 1798 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32548": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1799 ],
"B": [ 1796 ],
"Y": [ 1800 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32549": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1799 ],
"B": [ 1796 ],
"Y": [ 1801 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32550": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1233 ],
"B": [ 1801 ],
"S": [ 1684 ],
"Y": [ 1802 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32551": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1803 ],
"B": [ 1800 ],
"Y": [ 1804 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32552": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1238 ],
"B": [ 1804 ],
"S": [ 1684 ],
"Y": [ 1805 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32553": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1094 ],
"B": [ 1090 ],
"S": [ 1089 ],
"Y": [ 1806 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32554": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 176 ],
"B": [ 1806 ],
"S": [ 326 ],
"Y": [ 108 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32555": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1099 ],
"B": [ 1096 ],
"S": [ 1089 ],
"Y": [ 1807 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32556": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 177 ],
"B": [ 1807 ],
"S": [ 326 ],
"Y": [ 109 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32557": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1104 ],
"B": [ 1101 ],
"S": [ 1089 ],
"Y": [ 1808 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32558": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 178 ],
"B": [ 1808 ],
"S": [ 326 ],
"Y": [ 110 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32559": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1109 ],
"B": [ 1106 ],
"S": [ 1089 ],
"Y": [ 1809 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32560": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 179 ],
"B": [ 1809 ],
"S": [ 326 ],
"Y": [ 111 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32561": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1114 ],
"B": [ 1111 ],
"S": [ 1089 ],
"Y": [ 1810 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32562": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 180 ],
"B": [ 1810 ],
"S": [ 326 ],
"Y": [ 112 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32563": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1119 ],
"B": [ 1116 ],
"S": [ 1089 ],
"Y": [ 1811 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32564": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 181 ],
"B": [ 1811 ],
"S": [ 326 ],
"Y": [ 113 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32565": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1124 ],
"B": [ 1121 ],
"S": [ 1089 ],
"Y": [ 1812 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32566": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 182 ],
"B": [ 1812 ],
"S": [ 326 ],
"Y": [ 114 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32567": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1129 ],
"B": [ 1126 ],
"S": [ 1089 ],
"Y": [ 1813 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32568": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 183 ],
"B": [ 1813 ],
"S": [ 326 ],
"Y": [ 115 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32569": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1134 ],
"B": [ 1131 ],
"S": [ 1089 ],
"Y": [ 1814 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32570": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 184 ],
"B": [ 1814 ],
"S": [ 326 ],
"Y": [ 116 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32571": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1139 ],
"B": [ 1136 ],
"S": [ 1089 ],
"Y": [ 1815 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32572": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 185 ],
"B": [ 1815 ],
"S": [ 326 ],
"Y": [ 117 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32573": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1144 ],
"B": [ 1141 ],
"S": [ 1089 ],
"Y": [ 1816 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32574": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 186 ],
"B": [ 1816 ],
"S": [ 326 ],
"Y": [ 118 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32575": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1149 ],
"B": [ 1146 ],
"S": [ 1089 ],
"Y": [ 1817 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32576": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 187 ],
"B": [ 1817 ],
"S": [ 326 ],
"Y": [ 119 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32577": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1154 ],
"B": [ 1151 ],
"S": [ 1089 ],
"Y": [ 1818 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32578": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 188 ],
"B": [ 1818 ],
"S": [ 326 ],
"Y": [ 120 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32579": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1159 ],
"B": [ 1156 ],
"S": [ 1089 ],
"Y": [ 1819 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32580": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 189 ],
"B": [ 1819 ],
"S": [ 326 ],
"Y": [ 121 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32581": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1164 ],
"B": [ 1161 ],
"S": [ 1089 ],
"Y": [ 1820 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32582": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 190 ],
"B": [ 1820 ],
"S": [ 326 ],
"Y": [ 122 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32583": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1169 ],
"B": [ 1166 ],
"S": [ 1089 ],
"Y": [ 1821 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32584": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 191 ],
"B": [ 1821 ],
"S": [ 326 ],
"Y": [ 123 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32585": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1174 ],
"B": [ 1171 ],
"S": [ 1089 ],
"Y": [ 1822 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32586": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 192 ],
"B": [ 1822 ],
"S": [ 326 ],
"Y": [ 124 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32587": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1179 ],
"B": [ 1176 ],
"S": [ 1089 ],
"Y": [ 1823 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32588": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 193 ],
"B": [ 1823 ],
"S": [ 326 ],
"Y": [ 125 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32589": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1184 ],
"B": [ 1181 ],
"S": [ 1089 ],
"Y": [ 1824 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32590": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 194 ],
"B": [ 1824 ],
"S": [ 326 ],
"Y": [ 126 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32591": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1189 ],
"B": [ 1186 ],
"S": [ 1089 ],
"Y": [ 1825 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32592": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 195 ],
"B": [ 1825 ],
"S": [ 326 ],
"Y": [ 127 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32593": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1194 ],
"B": [ 1191 ],
"S": [ 1089 ],
"Y": [ 1826 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32594": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 196 ],
"B": [ 1826 ],
"S": [ 326 ],
"Y": [ 128 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32595": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1199 ],
"B": [ 1196 ],
"S": [ 1089 ],
"Y": [ 1827 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32596": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 197 ],
"B": [ 1827 ],
"S": [ 326 ],
"Y": [ 129 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32597": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1204 ],
"B": [ 1201 ],
"S": [ 1089 ],
"Y": [ 1828 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32598": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 198 ],
"B": [ 1828 ],
"S": [ 326 ],
"Y": [ 130 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32599": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1209 ],
"B": [ 1206 ],
"S": [ 1089 ],
"Y": [ 1829 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32600": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 199 ],
"B": [ 1829 ],
"S": [ 326 ],
"Y": [ 131 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32601": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1214 ],
"B": [ 1211 ],
"S": [ 1089 ],
"Y": [ 1830 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32602": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 200 ],
"B": [ 1830 ],
"S": [ 326 ],
"Y": [ 132 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32603": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1219 ],
"B": [ 1216 ],
"S": [ 1089 ],
"Y": [ 1831 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32604": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 201 ],
"B": [ 1831 ],
"S": [ 326 ],
"Y": [ 133 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32605": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1224 ],
"B": [ 1221 ],
"S": [ 1089 ],
"Y": [ 1832 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32606": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 202 ],
"B": [ 1832 ],
"S": [ 326 ],
"Y": [ 134 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32607": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1229 ],
"B": [ 1226 ],
"S": [ 1089 ],
"Y": [ 1833 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32608": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 203 ],
"B": [ 1833 ],
"S": [ 326 ],
"Y": [ 135 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32609": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1234 ],
"B": [ 1231 ],
"S": [ 1089 ],
"Y": [ 1834 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32610": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 204 ],
"B": [ 1834 ],
"S": [ 326 ],
"Y": [ 136 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32611": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1239 ],
"B": [ 1236 ],
"S": [ 1089 ],
"Y": [ 1835 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32612": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 205 ],
"B": [ 1835 ],
"S": [ 326 ],
"Y": [ 137 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32613": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 671 ],
"B": [ 81 ],
"S": [ 305 ],
"Y": [ 1836 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32614": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 669 ],
"B": [ 82 ],
"S": [ 305 ],
"Y": [ 1837 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32615": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 655 ],
"B": [ 83 ],
"S": [ 305 ],
"Y": [ 1838 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32616": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 672 ],
"B": [ 84 ],
"S": [ 305 ],
"Y": [ 1839 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32617": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 659 ],
"B": [ 89 ],
"S": [ 305 ],
"Y": [ 1840 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32618": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 658 ],
"B": [ 90 ],
"S": [ 305 ],
"Y": [ 1841 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32619": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 651 ],
"B": [ 91 ],
"S": [ 305 ],
"Y": [ 1842 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32620": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 650 ],
"B": [ 92 ],
"S": [ 305 ],
"Y": [ 1843 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32621": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 653 ],
"B": [ 93 ],
"S": [ 305 ],
"Y": [ 1844 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32622": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 1845 ],
"B": [ 94 ],
"S": [ 305 ],
"Y": [ 1846 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32623": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 677 ],
"B": [ 95 ],
"S": [ 305 ],
"Y": [ 1847 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32624": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 675 ],
"B": [ 96 ],
"S": [ 305 ],
"Y": [ 1848 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32625": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 668 ],
"B": [ 97 ],
"S": [ 305 ],
"Y": [ 1849 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32626": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 678 ],
"B": [ 98 ],
"S": [ 305 ],
"Y": [ 1850 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32627": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 620 ],
"B": [ 99 ],
"S": [ 305 ],
"Y": [ 1851 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32628": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 619 ],
"B": [ 100 ],
"S": [ 305 ],
"Y": [ 1852 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32629": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 623 ],
"B": [ 101 ],
"S": [ 305 ],
"Y": [ 1853 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32630": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 622 ],
"B": [ 102 ],
"S": [ 305 ],
"Y": [ 1854 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32631": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 625 ],
"B": [ 103 ],
"S": [ 305 ],
"Y": [ 1855 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32632": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 630 ],
"B": [ 104 ],
"S": [ 305 ],
"Y": [ 1856 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32633": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 626 ],
"B": [ 105 ],
"S": [ 305 ],
"Y": [ 1857 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32634": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 175 ],
"Y": [ 1858 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32635": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 371 ],
"B": [ 175 ],
"Y": [ 1859 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32636": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 371 ],
"B": [ 435 ],
"Y": [ 1860 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32637": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 371 ],
"B": [ 435 ],
"Y": [ 1861 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32638": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 175 ],
"S": [ 371 ],
"Y": [ 1862 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32639": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1858 ],
"B": [ 1862 ],
"Y": [ 170 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32640": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 107 ],
"B": [ 170 ],
"Y": [ 1863 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32641": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 70 ],
"B": [ 330 ],
"Y": [ 1864 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32642": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1863 ],
"B": [ 1864 ],
"Y": [ 1865 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32643": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 328 ],
"B": [ 1865 ],
"Y": [ 1866 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32644": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 175 ],
"B": [ 174 ],
"Y": [ 1867 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32645": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1862 ],
"B": [ 1867 ],
"Y": [ 171 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32646": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 107 ],
"B": [ 171 ],
"Y": [ 1868 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32647": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 71 ],
"B": [ 330 ],
"Y": [ 1869 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32648": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1868 ],
"B": [ 1869 ],
"Y": [ 1870 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32649": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 328 ],
"B": [ 1870 ],
"Y": [ 1871 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32650": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 175 ],
"Y": [ 1872 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32651": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1859 ],
"B": [ 1861 ],
"Y": [ 1873 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32652": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1872 ],
"B": [ 1873 ],
"Y": [ 172 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32653": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 107 ],
"B": [ 172 ],
"Y": [ 1874 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32654": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 72 ],
"B": [ 330 ],
"Y": [ 1875 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32655": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1874 ],
"B": [ 1875 ],
"Y": [ 1876 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32656": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 328 ],
"B": [ 1876 ],
"Y": [ 1877 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32657": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 175 ],
"Y": [ 1878 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32658": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1878 ],
"B": [ 1873 ],
"Y": [ 173 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32659": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 107 ],
"B": [ 173 ],
"Y": [ 1879 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32660": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 73 ],
"B": [ 330 ],
"Y": [ 1880 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32661": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1879 ],
"B": [ 1880 ],
"Y": [ 1881 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32662": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 328 ],
"B": [ 1881 ],
"Y": [ 1882 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32663": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 513 ],
"B": [ 531 ],
"Y": [ 1883 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32664": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 404 ],
"B": [ 297 ],
"Y": [ 1884 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32665": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1883 ],
"B": [ 1884 ],
"Y": [ 1885 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32666": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 709 ],
"B": [ 1885 ],
"Y": [ 1886 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32667": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 403 ],
"B": [ 404 ],
"Y": [ 1887 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32668": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 1887 ],
"Y": [ 1888 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32669": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 516 ],
"B": [ 531 ],
"Y": [ 1889 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32670": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1888 ],
"B": [ 1889 ],
"Y": [ 1890 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32671": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 714 ],
"B": [ 1890 ],
"Y": [ 1891 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32672": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 406 ],
"B": [ 405 ],
"Y": [ 1892 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32673": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 1892 ],
"Y": [ 1893 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32674": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 519 ],
"B": [ 531 ],
"Y": [ 1894 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32675": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1893 ],
"B": [ 1894 ],
"Y": [ 1895 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32676": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 719 ],
"B": [ 1895 ],
"Y": [ 1896 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32677": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 408 ],
"B": [ 407 ],
"Y": [ 1897 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32678": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 1897 ],
"Y": [ 1898 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32679": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 522 ],
"B": [ 531 ],
"Y": [ 1899 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32680": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1898 ],
"B": [ 1899 ],
"Y": [ 1900 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32681": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 724 ],
"B": [ 1900 ],
"Y": [ 1901 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32682": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 410 ],
"B": [ 409 ],
"Y": [ 1902 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32683": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 1902 ],
"Y": [ 1903 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32684": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 729 ],
"B": [ 1903 ],
"Y": [ 1904 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32685": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 414 ],
"B": [ 389 ],
"Y": [ 1905 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32686": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 708 ],
"B": [ 1905 ],
"Y": [ 1906 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32687": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 175 ],
"Y": [ 1907 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32688": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 427 ],
"B": [ 1907 ],
"Y": [ 1908 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32689": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 710 ],
"B": [ 174 ],
"Y": [ 1909 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32690": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 710 ],
"B": [ 174 ],
"Y": [ 1910 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32691": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 1910 ],
"Y": [ 1911 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32692": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1908 ],
"B": [ 1911 ],
"Y": [ 1912 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32693": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1906 ],
"B": [ 1912 ],
"Y": [ 1913 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32694": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 713 ],
"B": [ 1905 ],
"Y": [ 1914 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32695": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 715 ],
"B": [ 175 ],
"Y": [ 1915 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32696": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 715 ],
"B": [ 175 ],
"Y": [ 1916 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32697": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1909 ],
"B": [ 1916 ],
"Y": [ 1917 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32698": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1909 ],
"B": [ 1916 ],
"Y": [ 1918 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32699": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1918 ],
"B": [ 361 ],
"Y": [ 1919 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32700": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 176 ],
"Y": [ 1920 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32701": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 1920 ],
"Y": [ 1921 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32702": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 427 ],
"Y": [ 1922 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32703": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1921 ],
"B": [ 1922 ],
"Y": [ 1923 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32704": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1919 ],
"B": [ 1923 ],
"Y": [ 1924 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32705": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 174 ],
"Y": [ 1925 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32706": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1914 ],
"B": [ 1924 ],
"Y": [ 1926 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32707": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1915 ],
"B": [ 1917 ],
"Y": [ 1927 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32708": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 720 ],
"B": [ 176 ],
"Y": [ 1928 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32709": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 720 ],
"B": [ 176 ],
"Y": [ 1929 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32710": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1927 ],
"B": [ 1929 ],
"Y": [ 1930 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32711": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1927 ],
"B": [ 1929 ],
"Y": [ 1931 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32712": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1931 ],
"B": [ 361 ],
"Y": [ 1932 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32713": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 718 ],
"B": [ 1905 ],
"Y": [ 1933 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32714": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 389 ],
"B": [ 488 ],
"Y": [ 1934 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32715": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 414 ],
"B": [ 1934 ],
"Y": [ 1935 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32716": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 303 ],
"B": [ 1935 ],
"Y": [ 1936 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32717": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 175 ],
"B": [ 427 ],
"Y": [ 1937 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32718": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 177 ],
"Y": [ 1938 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32719": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 1938 ],
"Y": [ 1939 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32720": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1937 ],
"B": [ 1939 ],
"Y": [ 1940 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32721": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1933 ],
"B": [ 1940 ],
"Y": [ 1941 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32722": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1932 ],
"B": [ 1941 ],
"Y": [ 1942 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32723": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1936 ],
"B": [ 1942 ],
"Y": [ 1943 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32724": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1928 ],
"B": [ 1930 ],
"Y": [ 1944 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32725": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 725 ],
"B": [ 177 ],
"Y": [ 1945 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32726": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 725 ],
"B": [ 177 ],
"Y": [ 1946 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32727": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1945 ],
"B": [ 1946 ],
"Y": [ 1947 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32728": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1944 ],
"B": [ 1947 ],
"Y": [ 1948 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32729": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 1948 ],
"Y": [ 1949 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32730": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 723 ],
"B": [ 1905 ],
"Y": [ 1950 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32731": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1691 ],
"B": [ 1935 ],
"Y": [ 1951 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32732": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 176 ],
"B": [ 427 ],
"Y": [ 1952 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32733": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 178 ],
"Y": [ 1953 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32734": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 1953 ],
"Y": [ 1954 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32735": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1952 ],
"B": [ 1954 ],
"Y": [ 1955 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32736": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1950 ],
"B": [ 1955 ],
"Y": [ 1956 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32737": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1951 ],
"B": [ 1956 ],
"Y": [ 1957 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32738": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1949 ],
"B": [ 1957 ],
"Y": [ 1958 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32739": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 730 ],
"B": [ 178 ],
"Y": [ 1959 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32740": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 730 ],
"B": [ 178 ],
"Y": [ 1960 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32741": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1944 ],
"B": [ 1945 ],
"Y": [ 1961 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32742": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1946 ],
"B": [ 1961 ],
"Y": [ 1962 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32743": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1960 ],
"B": [ 1962 ],
"Y": [ 1963 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32744": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1960 ],
"B": [ 1962 ],
"Y": [ 1964 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32745": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 1964 ],
"Y": [ 1965 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32746": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 728 ],
"B": [ 1905 ],
"Y": [ 1966 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32747": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1695 ],
"B": [ 1935 ],
"Y": [ 1967 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32748": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 177 ],
"B": [ 427 ],
"Y": [ 1968 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32749": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 179 ],
"Y": [ 1969 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32750": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 1969 ],
"Y": [ 1970 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32751": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1968 ],
"B": [ 1970 ],
"Y": [ 1971 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32752": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1966 ],
"B": [ 1971 ],
"Y": [ 1972 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32753": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1967 ],
"B": [ 1972 ],
"Y": [ 1973 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32754": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1965 ],
"B": [ 1973 ],
"Y": [ 1974 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32755": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1959 ],
"B": [ 1963 ],
"Y": [ 1975 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32756": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 734 ],
"B": [ 179 ],
"Y": [ 1976 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32757": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 734 ],
"B": [ 179 ],
"Y": [ 1977 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32758": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1975 ],
"B": [ 1977 ],
"Y": [ 1978 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32759": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1975 ],
"B": [ 1977 ],
"Y": [ 1979 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32760": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1979 ],
"B": [ 361 ],
"Y": [ 1980 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32761": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 733 ],
"B": [ 1905 ],
"Y": [ 1981 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32762": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1699 ],
"B": [ 1935 ],
"Y": [ 1982 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32763": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 178 ],
"B": [ 427 ],
"Y": [ 1983 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32764": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 180 ],
"Y": [ 1984 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32765": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 1984 ],
"Y": [ 1985 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32766": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1983 ],
"B": [ 1985 ],
"Y": [ 1986 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32767": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1981 ],
"B": [ 1986 ],
"Y": [ 1987 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32768": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1982 ],
"B": [ 1987 ],
"Y": [ 1988 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32769": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1980 ],
"B": [ 1988 ],
"Y": [ 1989 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32770": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1976 ],
"B": [ 1978 ],
"Y": [ 1990 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32771": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 738 ],
"B": [ 180 ],
"Y": [ 1991 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32772": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 738 ],
"B": [ 180 ],
"Y": [ 1992 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32773": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1990 ],
"B": [ 1992 ],
"Y": [ 1993 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32774": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1990 ],
"B": [ 1992 ],
"Y": [ 1994 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32775": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1994 ],
"B": [ 361 ],
"Y": [ 1995 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32776": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 737 ],
"B": [ 1905 ],
"Y": [ 1996 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32777": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1703 ],
"B": [ 1935 ],
"Y": [ 1997 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32778": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 179 ],
"B": [ 427 ],
"Y": [ 1998 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32779": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 181 ],
"Y": [ 1999 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32780": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 1999 ],
"Y": [ 2000 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32781": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1998 ],
"B": [ 2000 ],
"Y": [ 2001 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32782": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1996 ],
"B": [ 2001 ],
"Y": [ 2002 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32783": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1997 ],
"B": [ 2002 ],
"Y": [ 2003 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32784": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1995 ],
"B": [ 2003 ],
"Y": [ 2004 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32785": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1991 ],
"B": [ 1993 ],
"Y": [ 2005 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32786": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 742 ],
"B": [ 181 ],
"Y": [ 2006 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32787": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 742 ],
"B": [ 181 ],
"Y": [ 2007 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32788": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2006 ],
"B": [ 2007 ],
"Y": [ 2008 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32789": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2005 ],
"B": [ 2008 ],
"Y": [ 2009 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32790": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2009 ],
"Y": [ 2010 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32791": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 741 ],
"B": [ 1905 ],
"Y": [ 2011 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32792": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 180 ],
"B": [ 427 ],
"Y": [ 2012 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32793": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 182 ],
"Y": [ 2013 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32794": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2013 ],
"Y": [ 2014 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32795": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2012 ],
"B": [ 2014 ],
"Y": [ 2015 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32796": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1707 ],
"B": [ 1935 ],
"Y": [ 2016 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32797": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2011 ],
"B": [ 2015 ],
"Y": [ 2017 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32798": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2016 ],
"B": [ 2017 ],
"Y": [ 2018 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32799": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2010 ],
"B": [ 2018 ],
"Y": [ 2019 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32800": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 746 ],
"B": [ 182 ],
"Y": [ 2020 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32801": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 746 ],
"B": [ 182 ],
"Y": [ 2021 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32802": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2005 ],
"B": [ 2006 ],
"Y": [ 2022 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32803": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2007 ],
"B": [ 2022 ],
"Y": [ 2023 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32804": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2021 ],
"B": [ 2023 ],
"Y": [ 2024 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32805": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2021 ],
"B": [ 2023 ],
"Y": [ 2025 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32806": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2025 ],
"Y": [ 2026 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32807": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 745 ],
"B": [ 1905 ],
"Y": [ 2027 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32808": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1711 ],
"B": [ 1935 ],
"Y": [ 2028 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32809": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 181 ],
"B": [ 427 ],
"Y": [ 2029 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32810": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 183 ],
"Y": [ 2030 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32811": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2030 ],
"Y": [ 2031 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32812": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2029 ],
"B": [ 2031 ],
"Y": [ 2032 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32813": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2027 ],
"B": [ 2032 ],
"Y": [ 2033 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32814": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2028 ],
"B": [ 2033 ],
"Y": [ 2034 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32815": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2026 ],
"B": [ 2034 ],
"Y": [ 2035 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32816": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 750 ],
"B": [ 183 ],
"Y": [ 2036 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32817": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 750 ],
"B": [ 183 ],
"Y": [ 2037 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32818": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2036 ],
"B": [ 2037 ],
"Y": [ 2038 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32819": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2020 ],
"B": [ 2024 ],
"Y": [ 2039 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32820": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2038 ],
"B": [ 2039 ],
"Y": [ 2040 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32821": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2040 ],
"Y": [ 2041 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32822": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 749 ],
"B": [ 1905 ],
"Y": [ 2042 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32823": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1715 ],
"B": [ 1935 ],
"Y": [ 2043 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32824": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 182 ],
"B": [ 427 ],
"Y": [ 2044 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32825": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 184 ],
"Y": [ 2045 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32826": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2045 ],
"Y": [ 2046 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32827": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2044 ],
"B": [ 2046 ],
"Y": [ 2047 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32828": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2043 ],
"B": [ 2047 ],
"Y": [ 2048 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32829": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2042 ],
"B": [ 2048 ],
"Y": [ 2049 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32830": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2041 ],
"B": [ 2049 ],
"Y": [ 2050 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32831": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 754 ],
"B": [ 184 ],
"Y": [ 2051 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32832": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 754 ],
"B": [ 184 ],
"Y": [ 2052 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32833": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2036 ],
"B": [ 2039 ],
"Y": [ 2053 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32834": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2037 ],
"B": [ 2053 ],
"Y": [ 2054 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32835": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2052 ],
"B": [ 2054 ],
"Y": [ 2055 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32836": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2052 ],
"B": [ 2054 ],
"Y": [ 2056 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32837": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2056 ],
"Y": [ 2057 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32838": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 753 ],
"B": [ 1905 ],
"Y": [ 2058 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32839": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1719 ],
"B": [ 1935 ],
"Y": [ 2059 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32840": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 183 ],
"B": [ 427 ],
"Y": [ 2060 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32841": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 185 ],
"Y": [ 2061 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32842": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2061 ],
"Y": [ 2062 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32843": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2060 ],
"B": [ 2062 ],
"Y": [ 2063 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32844": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2058 ],
"B": [ 2063 ],
"Y": [ 2064 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32845": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2059 ],
"B": [ 2064 ],
"Y": [ 2065 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32846": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2057 ],
"B": [ 2065 ],
"Y": [ 2066 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32847": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 758 ],
"B": [ 185 ],
"Y": [ 2067 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32848": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 758 ],
"B": [ 185 ],
"Y": [ 2068 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32849": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2067 ],
"B": [ 2068 ],
"Y": [ 2069 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32850": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2051 ],
"B": [ 2055 ],
"Y": [ 2070 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32851": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2069 ],
"B": [ 2070 ],
"Y": [ 2071 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32852": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2069 ],
"B": [ 2070 ],
"Y": [ 2072 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32853": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2072 ],
"Y": [ 2073 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32854": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2071 ],
"B": [ 2073 ],
"Y": [ 2074 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32855": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 757 ],
"B": [ 1905 ],
"Y": [ 2075 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32856": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1723 ],
"B": [ 1935 ],
"Y": [ 2076 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32857": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 184 ],
"B": [ 427 ],
"Y": [ 2077 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32858": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 186 ],
"Y": [ 2078 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32859": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2078 ],
"Y": [ 2079 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32860": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2077 ],
"B": [ 2079 ],
"Y": [ 2080 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32861": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2076 ],
"B": [ 2080 ],
"Y": [ 2081 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32862": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2075 ],
"B": [ 2081 ],
"Y": [ 2082 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32863": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2074 ],
"B": [ 2082 ],
"Y": [ 2083 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32864": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 762 ],
"B": [ 186 ],
"Y": [ 2084 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32865": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 762 ],
"B": [ 186 ],
"Y": [ 2085 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32866": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2068 ],
"B": [ 2070 ],
"Y": [ 2086 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32867": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2067 ],
"B": [ 2086 ],
"Y": [ 2087 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32868": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2085 ],
"B": [ 2087 ],
"Y": [ 2088 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32869": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2085 ],
"B": [ 2087 ],
"Y": [ 2089 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32870": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2089 ],
"Y": [ 2090 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32871": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 761 ],
"B": [ 1905 ],
"Y": [ 2091 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32872": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1727 ],
"B": [ 1935 ],
"Y": [ 2092 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32873": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 427 ],
"B": [ 2061 ],
"Y": [ 2093 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32874": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 185 ],
"B": [ 427 ],
"Y": [ 2094 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32875": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 187 ],
"Y": [ 2095 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32876": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2094 ],
"B": [ 2095 ],
"Y": [ 2096 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32877": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2093 ],
"B": [ 2096 ],
"Y": [ 2097 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32878": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2092 ],
"B": [ 2097 ],
"Y": [ 2098 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32879": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2091 ],
"B": [ 2098 ],
"Y": [ 2099 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32880": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2090 ],
"B": [ 2099 ],
"Y": [ 2100 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32881": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 766 ],
"B": [ 187 ],
"Y": [ 2101 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32882": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 766 ],
"B": [ 187 ],
"Y": [ 2102 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32883": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2084 ],
"B": [ 2088 ],
"Y": [ 2103 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32884": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2102 ],
"B": [ 2103 ],
"Y": [ 2104 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32885": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2102 ],
"B": [ 2103 ],
"Y": [ 2105 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32886": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2105 ],
"Y": [ 2106 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32887": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 765 ],
"B": [ 1905 ],
"Y": [ 2107 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32888": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1731 ],
"B": [ 1935 ],
"Y": [ 2108 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32889": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 186 ],
"B": [ 427 ],
"Y": [ 2109 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32890": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 188 ],
"Y": [ 2110 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32891": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2110 ],
"Y": [ 2111 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32892": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2109 ],
"B": [ 2111 ],
"Y": [ 2112 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32893": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2107 ],
"B": [ 2112 ],
"Y": [ 2113 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32894": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2108 ],
"B": [ 2113 ],
"Y": [ 2114 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32895": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2106 ],
"B": [ 2114 ],
"Y": [ 2115 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32896": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2101 ],
"B": [ 2104 ],
"Y": [ 2116 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32897": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 770 ],
"B": [ 188 ],
"Y": [ 2117 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32898": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 770 ],
"B": [ 188 ],
"Y": [ 2118 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32899": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2116 ],
"B": [ 2118 ],
"Y": [ 2119 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32900": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2116 ],
"B": [ 2118 ],
"Y": [ 2120 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32901": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2120 ],
"Y": [ 2121 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32902": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 769 ],
"B": [ 1905 ],
"Y": [ 2122 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32903": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1735 ],
"B": [ 1935 ],
"Y": [ 2123 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32904": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 187 ],
"B": [ 427 ],
"Y": [ 2124 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32905": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 189 ],
"Y": [ 2125 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32906": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2125 ],
"Y": [ 2126 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32907": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2124 ],
"B": [ 2126 ],
"Y": [ 2127 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32908": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2122 ],
"B": [ 2127 ],
"Y": [ 2128 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32909": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2123 ],
"B": [ 2128 ],
"Y": [ 2129 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32910": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2121 ],
"B": [ 2129 ],
"Y": [ 2130 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32911": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 774 ],
"B": [ 189 ],
"Y": [ 2131 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32912": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 774 ],
"B": [ 189 ],
"Y": [ 2132 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32913": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2131 ],
"B": [ 2132 ],
"Y": [ 2133 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32914": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2117 ],
"B": [ 2119 ],
"Y": [ 2134 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32915": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2133 ],
"B": [ 2134 ],
"Y": [ 2135 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32916": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2133 ],
"B": [ 2134 ],
"Y": [ 2136 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32917": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2136 ],
"Y": [ 2137 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32918": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2135 ],
"B": [ 2137 ],
"Y": [ 2138 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32919": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 773 ],
"B": [ 1905 ],
"Y": [ 2139 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32920": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1739 ],
"B": [ 1935 ],
"Y": [ 2140 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32921": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 188 ],
"B": [ 427 ],
"Y": [ 2141 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32922": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 190 ],
"Y": [ 2142 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32923": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2142 ],
"Y": [ 2143 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32924": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2141 ],
"B": [ 2143 ],
"Y": [ 2144 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32925": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2140 ],
"B": [ 2144 ],
"Y": [ 2145 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32926": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2139 ],
"B": [ 2145 ],
"Y": [ 2146 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32927": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2138 ],
"B": [ 2146 ],
"Y": [ 2147 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32928": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 778 ],
"B": [ 190 ],
"Y": [ 2148 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32929": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 778 ],
"B": [ 190 ],
"Y": [ 2149 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32930": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2132 ],
"B": [ 2134 ],
"Y": [ 2150 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32931": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2131 ],
"B": [ 2150 ],
"Y": [ 2151 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32932": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2149 ],
"B": [ 2151 ],
"Y": [ 2152 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32933": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2149 ],
"B": [ 2151 ],
"Y": [ 2153 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32934": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2153 ],
"Y": [ 2154 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32935": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 777 ],
"B": [ 1905 ],
"Y": [ 2155 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32936": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1743 ],
"B": [ 1935 ],
"Y": [ 2156 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32937": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 427 ],
"B": [ 2125 ],
"Y": [ 2157 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32938": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 189 ],
"B": [ 427 ],
"Y": [ 2158 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32939": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 191 ],
"Y": [ 2159 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32940": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2158 ],
"B": [ 2159 ],
"Y": [ 2160 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32941": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2157 ],
"B": [ 2160 ],
"Y": [ 2161 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32942": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2156 ],
"B": [ 2161 ],
"Y": [ 2162 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32943": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2155 ],
"B": [ 2162 ],
"Y": [ 2163 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32944": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2154 ],
"B": [ 2163 ],
"Y": [ 2164 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32945": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 782 ],
"B": [ 191 ],
"Y": [ 2165 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32946": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 782 ],
"B": [ 191 ],
"Y": [ 2166 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32947": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2148 ],
"B": [ 2152 ],
"Y": [ 2167 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32948": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2166 ],
"B": [ 2167 ],
"Y": [ 2168 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32949": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2166 ],
"B": [ 2167 ],
"Y": [ 2169 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32950": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2169 ],
"Y": [ 2170 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32951": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 781 ],
"B": [ 1905 ],
"Y": [ 2171 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32952": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1747 ],
"B": [ 1935 ],
"Y": [ 2172 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32953": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 190 ],
"B": [ 427 ],
"Y": [ 2173 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32954": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 192 ],
"Y": [ 2174 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32955": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2174 ],
"Y": [ 2175 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32956": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2173 ],
"B": [ 2175 ],
"Y": [ 2176 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32957": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2171 ],
"B": [ 2176 ],
"Y": [ 2177 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32958": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2172 ],
"B": [ 2177 ],
"Y": [ 2178 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32959": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2170 ],
"B": [ 2178 ],
"Y": [ 2179 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32960": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2165 ],
"B": [ 2168 ],
"Y": [ 2180 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32961": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 786 ],
"B": [ 192 ],
"Y": [ 2181 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32962": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 786 ],
"B": [ 192 ],
"Y": [ 2182 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32963": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2180 ],
"B": [ 2182 ],
"Y": [ 2183 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32964": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2180 ],
"B": [ 2182 ],
"Y": [ 2184 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32965": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2184 ],
"B": [ 361 ],
"Y": [ 2185 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32966": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 785 ],
"B": [ 1905 ],
"Y": [ 2186 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32967": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1751 ],
"B": [ 1935 ],
"Y": [ 2187 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32968": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 191 ],
"B": [ 427 ],
"Y": [ 2188 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32969": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 193 ],
"Y": [ 2189 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32970": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2189 ],
"Y": [ 2190 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32971": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2188 ],
"B": [ 2190 ],
"Y": [ 2191 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32972": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2186 ],
"B": [ 2191 ],
"Y": [ 2192 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32973": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2187 ],
"B": [ 2192 ],
"Y": [ 2193 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32974": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2185 ],
"B": [ 2193 ],
"Y": [ 2194 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32975": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2181 ],
"B": [ 2183 ],
"Y": [ 2195 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32976": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 790 ],
"B": [ 193 ],
"Y": [ 2196 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32977": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 790 ],
"B": [ 193 ],
"Y": [ 2197 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32978": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2196 ],
"B": [ 2197 ],
"Y": [ 2198 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32979": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2195 ],
"B": [ 2198 ],
"Y": [ 2199 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32980": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2199 ],
"Y": [ 2200 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32981": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 789 ],
"B": [ 1905 ],
"Y": [ 2201 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32982": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1755 ],
"B": [ 1935 ],
"Y": [ 2202 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32983": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 192 ],
"B": [ 427 ],
"Y": [ 2203 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32984": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 194 ],
"Y": [ 2204 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32985": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2204 ],
"Y": [ 2205 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32986": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2203 ],
"B": [ 2205 ],
"Y": [ 2206 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32987": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2201 ],
"B": [ 2206 ],
"Y": [ 2207 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32988": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2202 ],
"B": [ 2207 ],
"Y": [ 2208 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32989": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2200 ],
"B": [ 2208 ],
"Y": [ 2209 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32990": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 794 ],
"B": [ 194 ],
"Y": [ 2210 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32991": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 794 ],
"B": [ 194 ],
"Y": [ 2211 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32992": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2195 ],
"B": [ 2196 ],
"Y": [ 2212 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32993": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2197 ],
"B": [ 2212 ],
"Y": [ 2213 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32994": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2211 ],
"B": [ 2213 ],
"Y": [ 2214 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32995": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2211 ],
"B": [ 2213 ],
"Y": [ 2215 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32996": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2215 ],
"Y": [ 2216 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32997": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 793 ],
"B": [ 1905 ],
"Y": [ 2217 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32998": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1759 ],
"B": [ 1935 ],
"Y": [ 2218 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$32999": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 193 ],
"B": [ 427 ],
"Y": [ 2219 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33000": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 195 ],
"Y": [ 2220 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33001": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2220 ],
"Y": [ 2221 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33002": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2219 ],
"B": [ 2221 ],
"Y": [ 2222 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33003": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2217 ],
"B": [ 2222 ],
"Y": [ 2223 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33004": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2218 ],
"B": [ 2223 ],
"Y": [ 2224 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33005": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2216 ],
"B": [ 2224 ],
"Y": [ 2225 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33006": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 798 ],
"B": [ 195 ],
"Y": [ 2226 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33007": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 798 ],
"B": [ 195 ],
"Y": [ 2227 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33008": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2210 ],
"B": [ 2214 ],
"Y": [ 2228 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33009": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2227 ],
"B": [ 2228 ],
"Y": [ 2229 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33010": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2227 ],
"B": [ 2228 ],
"Y": [ 2230 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33011": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2230 ],
"Y": [ 2231 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33012": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 797 ],
"B": [ 1905 ],
"Y": [ 2232 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33013": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1763 ],
"B": [ 1935 ],
"Y": [ 2233 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33014": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 194 ],
"B": [ 427 ],
"Y": [ 2234 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33015": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 196 ],
"Y": [ 2235 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33016": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2235 ],
"Y": [ 2236 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33017": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2234 ],
"B": [ 2236 ],
"Y": [ 2237 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33018": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2233 ],
"B": [ 2237 ],
"Y": [ 2238 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33019": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2232 ],
"B": [ 2238 ],
"Y": [ 2239 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33020": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2231 ],
"B": [ 2239 ],
"Y": [ 2240 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33021": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2226 ],
"B": [ 2229 ],
"Y": [ 2241 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33022": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 802 ],
"B": [ 196 ],
"Y": [ 2242 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33023": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 802 ],
"B": [ 196 ],
"Y": [ 2243 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33024": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2241 ],
"B": [ 2243 ],
"Y": [ 2244 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33025": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2241 ],
"B": [ 2243 ],
"Y": [ 2245 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33026": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2245 ],
"B": [ 361 ],
"Y": [ 2246 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33027": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 801 ],
"B": [ 1905 ],
"Y": [ 2247 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33028": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1767 ],
"B": [ 1935 ],
"Y": [ 2248 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33029": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 195 ],
"B": [ 427 ],
"Y": [ 2249 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33030": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 197 ],
"Y": [ 2250 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33031": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2250 ],
"Y": [ 2251 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33032": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2249 ],
"B": [ 2251 ],
"Y": [ 2252 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33033": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2247 ],
"B": [ 2252 ],
"Y": [ 2253 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33034": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2248 ],
"B": [ 2253 ],
"Y": [ 2254 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33035": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2246 ],
"B": [ 2254 ],
"Y": [ 2255 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33036": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2242 ],
"B": [ 2244 ],
"Y": [ 2256 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33037": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 806 ],
"B": [ 197 ],
"Y": [ 2257 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33038": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 806 ],
"B": [ 197 ],
"Y": [ 2258 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33039": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2257 ],
"B": [ 2258 ],
"Y": [ 2259 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33040": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2256 ],
"B": [ 2259 ],
"Y": [ 2260 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33041": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2260 ],
"Y": [ 2261 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33042": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 805 ],
"B": [ 1905 ],
"Y": [ 2262 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33043": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1771 ],
"B": [ 1935 ],
"Y": [ 2263 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33044": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 196 ],
"B": [ 427 ],
"Y": [ 2264 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33045": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 198 ],
"Y": [ 2265 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33046": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2265 ],
"Y": [ 2266 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33047": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2264 ],
"B": [ 2266 ],
"Y": [ 2267 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33048": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2262 ],
"B": [ 2267 ],
"Y": [ 2268 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33049": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2263 ],
"B": [ 2268 ],
"Y": [ 2269 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33050": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2261 ],
"B": [ 2269 ],
"Y": [ 2270 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33051": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 810 ],
"B": [ 198 ],
"Y": [ 2271 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33052": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 810 ],
"B": [ 198 ],
"Y": [ 2272 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33053": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2256 ],
"B": [ 2257 ],
"Y": [ 2273 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33054": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2258 ],
"B": [ 2273 ],
"Y": [ 2274 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33055": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2272 ],
"B": [ 2274 ],
"Y": [ 2275 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33056": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2272 ],
"B": [ 2274 ],
"Y": [ 2276 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33057": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2276 ],
"Y": [ 2277 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33058": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 809 ],
"B": [ 1905 ],
"Y": [ 2278 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33059": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1775 ],
"B": [ 1935 ],
"Y": [ 2279 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33060": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 197 ],
"B": [ 427 ],
"Y": [ 2280 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33061": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 199 ],
"Y": [ 2281 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33062": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2281 ],
"Y": [ 2282 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33063": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2280 ],
"B": [ 2282 ],
"Y": [ 2283 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33064": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2278 ],
"B": [ 2283 ],
"Y": [ 2284 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33065": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2279 ],
"B": [ 2284 ],
"Y": [ 2285 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33066": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2277 ],
"B": [ 2285 ],
"Y": [ 2286 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33067": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2271 ],
"B": [ 2275 ],
"Y": [ 2287 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33068": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 814 ],
"B": [ 199 ],
"Y": [ 2288 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33069": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 814 ],
"B": [ 199 ],
"Y": [ 2289 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33070": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2288 ],
"B": [ 2289 ],
"Y": [ 2290 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33071": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2287 ],
"B": [ 2290 ],
"Y": [ 2291 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33072": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2291 ],
"Y": [ 2292 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33073": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 813 ],
"B": [ 1905 ],
"Y": [ 2293 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33074": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1779 ],
"B": [ 1935 ],
"Y": [ 2294 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33075": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 198 ],
"B": [ 427 ],
"Y": [ 2295 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33076": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 200 ],
"Y": [ 2296 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33077": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2296 ],
"Y": [ 2297 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33078": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2295 ],
"B": [ 2297 ],
"Y": [ 2298 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33079": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2293 ],
"B": [ 2298 ],
"Y": [ 2299 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33080": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2294 ],
"B": [ 2299 ],
"Y": [ 2300 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33081": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2292 ],
"B": [ 2300 ],
"Y": [ 2301 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33082": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 818 ],
"B": [ 200 ],
"Y": [ 2302 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33083": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 818 ],
"B": [ 200 ],
"Y": [ 2303 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33084": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2287 ],
"B": [ 2288 ],
"Y": [ 2304 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33085": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2289 ],
"B": [ 2304 ],
"Y": [ 2305 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33086": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2303 ],
"B": [ 2305 ],
"Y": [ 2306 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33087": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2303 ],
"B": [ 2305 ],
"Y": [ 2307 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33088": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2307 ],
"Y": [ 2308 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33089": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 817 ],
"B": [ 1905 ],
"Y": [ 2309 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33090": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1783 ],
"B": [ 1935 ],
"Y": [ 2310 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33091": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 199 ],
"B": [ 427 ],
"Y": [ 2311 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33092": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 201 ],
"Y": [ 2312 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33093": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2312 ],
"Y": [ 2313 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33094": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2311 ],
"B": [ 2313 ],
"Y": [ 2314 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33095": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2309 ],
"B": [ 2314 ],
"Y": [ 2315 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33096": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2310 ],
"B": [ 2315 ],
"Y": [ 2316 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33097": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2308 ],
"B": [ 2316 ],
"Y": [ 2317 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33098": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2302 ],
"B": [ 2306 ],
"Y": [ 2318 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33099": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 822 ],
"B": [ 201 ],
"Y": [ 2319 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33100": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 822 ],
"B": [ 201 ],
"Y": [ 2320 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33101": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2319 ],
"B": [ 2320 ],
"Y": [ 2321 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33102": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2318 ],
"B": [ 2321 ],
"Y": [ 2322 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33103": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2322 ],
"Y": [ 2323 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33104": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 821 ],
"B": [ 1905 ],
"Y": [ 2324 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33105": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1787 ],
"B": [ 1935 ],
"Y": [ 2325 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33106": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 200 ],
"B": [ 427 ],
"Y": [ 2326 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33107": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 202 ],
"Y": [ 2327 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33108": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2327 ],
"Y": [ 2328 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33109": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2326 ],
"B": [ 2328 ],
"Y": [ 2329 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33110": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2324 ],
"B": [ 2329 ],
"Y": [ 2330 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33111": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2325 ],
"B": [ 2330 ],
"Y": [ 2331 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33112": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2323 ],
"B": [ 2331 ],
"Y": [ 2332 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33113": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 826 ],
"B": [ 202 ],
"Y": [ 2333 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33114": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 826 ],
"B": [ 202 ],
"Y": [ 2334 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33115": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2318 ],
"B": [ 2319 ],
"Y": [ 2335 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33116": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2320 ],
"B": [ 2335 ],
"Y": [ 2336 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33117": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2334 ],
"B": [ 2336 ],
"Y": [ 2337 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33118": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2334 ],
"B": [ 2336 ],
"Y": [ 2338 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33119": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2338 ],
"Y": [ 2339 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33120": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 825 ],
"B": [ 1905 ],
"Y": [ 2340 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33121": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1791 ],
"B": [ 1935 ],
"Y": [ 2341 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33122": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 201 ],
"B": [ 427 ],
"Y": [ 2342 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33123": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 203 ],
"Y": [ 2343 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33124": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2343 ],
"Y": [ 2344 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33125": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2342 ],
"B": [ 2344 ],
"Y": [ 2345 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33126": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2340 ],
"B": [ 2345 ],
"Y": [ 2346 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33127": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2341 ],
"B": [ 2346 ],
"Y": [ 2347 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33128": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2339 ],
"B": [ 2347 ],
"Y": [ 2348 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33129": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2333 ],
"B": [ 2337 ],
"Y": [ 2349 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33130": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 830 ],
"B": [ 203 ],
"Y": [ 2350 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33131": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 830 ],
"B": [ 203 ],
"Y": [ 2351 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33132": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2350 ],
"B": [ 2351 ],
"Y": [ 2352 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33133": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2349 ],
"B": [ 2352 ],
"Y": [ 2353 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33134": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2353 ],
"Y": [ 2354 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33135": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 829 ],
"B": [ 1905 ],
"Y": [ 2355 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33136": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1795 ],
"B": [ 1935 ],
"Y": [ 2356 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33137": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 202 ],
"B": [ 427 ],
"Y": [ 2357 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33138": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 204 ],
"Y": [ 2358 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33139": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2358 ],
"Y": [ 2359 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33140": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2357 ],
"B": [ 2359 ],
"Y": [ 2360 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33141": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2355 ],
"B": [ 2360 ],
"Y": [ 2361 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33142": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2356 ],
"B": [ 2361 ],
"Y": [ 2362 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33143": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2354 ],
"B": [ 2362 ],
"Y": [ 2363 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33144": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 834 ],
"B": [ 204 ],
"Y": [ 2364 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33145": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 834 ],
"B": [ 204 ],
"Y": [ 2365 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33146": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2349 ],
"B": [ 2350 ],
"Y": [ 2366 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33147": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2351 ],
"B": [ 2366 ],
"Y": [ 2367 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33148": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2365 ],
"B": [ 2367 ],
"Y": [ 2368 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33149": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2365 ],
"B": [ 2367 ],
"Y": [ 2369 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33150": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2369 ],
"Y": [ 2370 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33151": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 833 ],
"B": [ 1905 ],
"Y": [ 2371 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33152": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1799 ],
"B": [ 1935 ],
"Y": [ 2372 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33153": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 203 ],
"B": [ 427 ],
"Y": [ 2373 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33154": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 297 ],
"B": [ 205 ],
"Y": [ 2374 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33155": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 428 ],
"B": [ 2374 ],
"Y": [ 2375 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33156": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2373 ],
"B": [ 2375 ],
"Y": [ 2376 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33157": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2371 ],
"B": [ 2376 ],
"Y": [ 2377 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33158": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2372 ],
"B": [ 2377 ],
"Y": [ 2378 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33159": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2370 ],
"B": [ 2378 ],
"Y": [ 2379 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33160": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2364 ],
"B": [ 2368 ],
"Y": [ 2380 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33161": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 838 ],
"B": [ 205 ],
"Y": [ 2381 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33162": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2380 ],
"B": [ 2381 ],
"Y": [ 2382 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33163": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 361 ],
"B": [ 2382 ],
"Y": [ 2383 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33164": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 837 ],
"B": [ 1905 ],
"Y": [ 2384 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33165": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 204 ],
"B": [ 428 ],
"Y": [ 2385 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33166": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1803 ],
"B": [ 1935 ],
"Y": [ 2386 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33167": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2385 ],
"B": [ 2386 ],
"Y": [ 2387 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33168": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2384 ],
"B": [ 2387 ],
"Y": [ 2388 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33169": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2383 ],
"B": [ 2388 ],
"Y": [ 2389 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33170": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 1872 ],
"Y": [ 2390 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33171": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1859 ],
"B": [ 2390 ],
"Y": [ 2391 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33172": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 90 ],
"B": [ 2391 ],
"Y": [ 2392 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33173": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 82 ],
"B": [ 98 ],
"S": [ 175 ],
"Y": [ 2393 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33174": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 174 ],
"Y": [ 2394 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33175": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2393 ],
"B": [ 2394 ],
"Y": [ 2395 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33176": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 74 ],
"B": [ 170 ],
"Y": [ 2396 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33177": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2395 ],
"B": [ 2396 ],
"Y": [ 2397 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33178": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2392 ],
"B": [ 2397 ],
"Y": [ 2398 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33179": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2398 ],
"Y": [ 2399 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33180": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 710 ],
"B": [ 413 ],
"Y": [ 2400 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33181": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1925 ],
"B": [ 2400 ],
"Y": [ 2401 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33182": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2399 ],
"B": [ 2401 ],
"Y": [ 2402 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33183": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 75 ],
"B": [ 170 ],
"Y": [ 2403 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33184": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 91 ],
"B": [ 2391 ],
"Y": [ 2404 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33185": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 83 ],
"B": [ 99 ],
"S": [ 175 ],
"Y": [ 2405 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33186": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2394 ],
"B": [ 2405 ],
"Y": [ 2406 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33187": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2403 ],
"B": [ 2406 ],
"Y": [ 2407 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33188": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2404 ],
"B": [ 2407 ],
"Y": [ 2408 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33189": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2408 ],
"Y": [ 2409 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33190": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 715 ],
"B": [ 413 ],
"Y": [ 2410 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33191": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1907 ],
"B": [ 2410 ],
"Y": [ 2411 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33192": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2409 ],
"B": [ 2411 ],
"Y": [ 2412 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33193": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 76 ],
"B": [ 170 ],
"Y": [ 2413 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33194": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 92 ],
"B": [ 2391 ],
"Y": [ 2414 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33195": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 84 ],
"B": [ 100 ],
"S": [ 175 ],
"Y": [ 2415 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33196": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2394 ],
"B": [ 2415 ],
"Y": [ 2416 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33197": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2413 ],
"B": [ 2416 ],
"Y": [ 2417 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33198": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2414 ],
"B": [ 2417 ],
"Y": [ 2418 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33199": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2418 ],
"Y": [ 2419 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33200": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 720 ],
"B": [ 303 ],
"Y": [ 2420 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33201": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 720 ],
"B": [ 303 ],
"Y": [ 2421 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33202": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2421 ],
"Y": [ 2422 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33203": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2420 ],
"B": [ 2422 ],
"Y": [ 2423 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33204": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1920 ],
"B": [ 2423 ],
"Y": [ 2424 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33205": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2419 ],
"B": [ 2424 ],
"Y": [ 2425 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33206": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 93 ],
"B": [ 2391 ],
"Y": [ 2426 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33207": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 77 ],
"B": [ 170 ],
"Y": [ 2427 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33208": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 85 ],
"B": [ 101 ],
"S": [ 175 ],
"Y": [ 2428 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33209": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 2428 ],
"Y": [ 2429 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33210": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 2429 ],
"Y": [ 2430 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33211": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2427 ],
"B": [ 2430 ],
"Y": [ 2431 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33212": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2426 ],
"B": [ 2431 ],
"Y": [ 2432 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33213": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2432 ],
"Y": [ 2433 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33214": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 725 ],
"B": [ 1691 ],
"Y": [ 2434 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33215": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 725 ],
"B": [ 1691 ],
"Y": [ 2435 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33216": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2420 ],
"B": [ 2435 ],
"Y": [ 2436 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33217": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2420 ],
"B": [ 2435 ],
"Y": [ 2437 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33218": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2437 ],
"Y": [ 2438 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33219": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1938 ],
"B": [ 2438 ],
"Y": [ 2439 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33220": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2433 ],
"B": [ 2439 ],
"Y": [ 2440 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33221": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 94 ],
"B": [ 2391 ],
"Y": [ 2441 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33222": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 78 ],
"B": [ 170 ],
"Y": [ 2442 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33223": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 86 ],
"B": [ 102 ],
"S": [ 175 ],
"Y": [ 2443 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33224": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 2443 ],
"Y": [ 2444 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33225": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 2444 ],
"Y": [ 2445 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33226": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2442 ],
"B": [ 2445 ],
"Y": [ 2446 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33227": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2441 ],
"B": [ 2446 ],
"Y": [ 2447 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33228": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2447 ],
"Y": [ 2448 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33229": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2434 ],
"B": [ 2436 ],
"Y": [ 2449 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33230": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 730 ],
"B": [ 1695 ],
"Y": [ 2450 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33231": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 730 ],
"B": [ 1695 ],
"Y": [ 2451 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33232": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2449 ],
"B": [ 2451 ],
"Y": [ 2452 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33233": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2449 ],
"B": [ 2451 ],
"Y": [ 2453 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33234": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2453 ],
"Y": [ 2454 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33235": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1953 ],
"B": [ 2454 ],
"Y": [ 2455 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33236": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2448 ],
"B": [ 2455 ],
"Y": [ 2456 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33237": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2450 ],
"B": [ 2452 ],
"Y": [ 2457 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33238": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 734 ],
"B": [ 1699 ],
"Y": [ 2458 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33239": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 734 ],
"B": [ 1699 ],
"Y": [ 2459 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33240": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2458 ],
"B": [ 2459 ],
"Y": [ 2460 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33241": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2457 ],
"B": [ 2460 ],
"Y": [ 2461 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33242": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2461 ],
"Y": [ 2462 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33243": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 79 ],
"B": [ 170 ],
"Y": [ 2463 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33244": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 95 ],
"B": [ 2391 ],
"Y": [ 2464 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33245": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 175 ],
"B": [ 87 ],
"Y": [ 2465 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33246": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 103 ],
"B": [ 1878 ],
"Y": [ 2466 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33247": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2465 ],
"B": [ 2466 ],
"Y": [ 2467 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33248": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 1858 ],
"Y": [ 2468 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33249": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2467 ],
"B": [ 2468 ],
"Y": [ 2469 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33250": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2464 ],
"B": [ 2469 ],
"Y": [ 2470 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33251": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2463 ],
"B": [ 2470 ],
"Y": [ 2471 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33252": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2471 ],
"Y": [ 2472 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33253": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1969 ],
"B": [ 2472 ],
"Y": [ 2473 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33254": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2462 ],
"B": [ 2473 ],
"Y": [ 2474 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33255": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 738 ],
"B": [ 1703 ],
"Y": [ 2475 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33256": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 738 ],
"B": [ 1703 ],
"Y": [ 2476 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33257": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2457 ],
"B": [ 2458 ],
"Y": [ 2477 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33258": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2459 ],
"B": [ 2477 ],
"Y": [ 2478 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33259": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2476 ],
"B": [ 2478 ],
"Y": [ 2479 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33260": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2476 ],
"B": [ 2478 ],
"Y": [ 2480 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33261": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2480 ],
"Y": [ 2481 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33262": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 175 ],
"B": [ 88 ],
"Y": [ 2482 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33263": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 104 ],
"B": [ 1878 ],
"Y": [ 2483 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33264": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2482 ],
"B": [ 2483 ],
"Y": [ 2484 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33265": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2468 ],
"B": [ 2484 ],
"Y": [ 2485 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33266": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 80 ],
"B": [ 170 ],
"Y": [ 2486 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33267": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 96 ],
"B": [ 2391 ],
"Y": [ 2487 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33268": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2485 ],
"B": [ 2487 ],
"Y": [ 2488 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33269": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2486 ],
"B": [ 2488 ],
"Y": [ 2489 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33270": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2489 ],
"Y": [ 2490 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33271": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1984 ],
"B": [ 2490 ],
"Y": [ 2491 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33272": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2481 ],
"B": [ 2491 ],
"Y": [ 2492 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33273": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2475 ],
"B": [ 2479 ],
"Y": [ 2493 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33274": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 742 ],
"B": [ 1707 ],
"Y": [ 2494 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33275": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 742 ],
"B": [ 1707 ],
"Y": [ 2495 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33276": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2493 ],
"B": [ 2495 ],
"Y": [ 2496 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33277": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2493 ],
"B": [ 2495 ],
"Y": [ 2497 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33278": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2497 ],
"Y": [ 2498 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33279": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 97 ],
"B": [ 2391 ],
"Y": [ 2499 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33280": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 81 ],
"B": [ 170 ],
"Y": [ 2500 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33281": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 89 ],
"B": [ 105 ],
"S": [ 175 ],
"Y": [ 2501 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33282": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 174 ],
"B": [ 2501 ],
"Y": [ 2502 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33283": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 435 ],
"B": [ 2502 ],
"Y": [ 2503 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33284": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2500 ],
"B": [ 2503 ],
"Y": [ 2504 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33285": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2499 ],
"B": [ 2504 ],
"Y": [ 2505 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33286": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2505 ],
"Y": [ 2506 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33287": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1999 ],
"B": [ 2506 ],
"Y": [ 2507 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33288": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2498 ],
"B": [ 2507 ],
"Y": [ 2508 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33289": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2494 ],
"B": [ 2496 ],
"Y": [ 2509 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33290": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 746 ],
"B": [ 1711 ],
"Y": [ 2510 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33291": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 746 ],
"B": [ 1711 ],
"Y": [ 2511 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33292": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2509 ],
"B": [ 2511 ],
"Y": [ 2512 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33293": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2509 ],
"B": [ 2511 ],
"Y": [ 2513 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33294": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2513 ],
"Y": [ 2514 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33295": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2515 ],
"B": [ 2505 ],
"Y": [ 2516 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33296": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2517 ],
"B": [ 2515 ],
"Y": [ 2518 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33297": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2517 ],
"B": [ 2515 ],
"Y": [ 2519 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33298": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 1860 ],
"B": [ 2519 ],
"Y": [ 2520 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33299": {
"hide_name": 1,
"type": "$_MUX_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 82 ],
"B": [ 2393 ],
"S": [ 371 ],
"Y": [ 2521 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33300": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2520 ],
"B": [ 2521 ],
"Y": [ 2522 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33301": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2516 ],
"B": [ 2522 ],
"Y": [ 2523 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33302": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2523 ],
"Y": [ 2524 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33303": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2013 ],
"B": [ 2524 ],
"Y": [ 2525 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33304": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2514 ],
"B": [ 2525 ],
"Y": [ 2526 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33305": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2510 ],
"B": [ 2512 ],
"Y": [ 2527 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33306": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 750 ],
"B": [ 1715 ],
"Y": [ 2528 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33307": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 750 ],
"B": [ 1715 ],
"Y": [ 2529 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33308": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2528 ],
"B": [ 2529 ],
"Y": [ 2530 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33309": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2527 ],
"B": [ 2530 ],
"Y": [ 2531 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33310": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2531 ],
"Y": [ 2532 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33311": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2405 ],
"B": [ 371 ],
"Y": [ 2533 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33312": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 83 ],
"B": [ 1861 ],
"Y": [ 2534 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33313": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2520 ],
"B": [ 2534 ],
"Y": [ 2535 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33314": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2533 ],
"B": [ 2535 ],
"Y": [ 2536 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33315": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2516 ],
"B": [ 2536 ],
"Y": [ 2537 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33316": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2537 ],
"Y": [ 2538 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33317": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2030 ],
"B": [ 2538 ],
"Y": [ 2539 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33318": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2532 ],
"B": [ 2539 ],
"Y": [ 2540 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33319": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 754 ],
"B": [ 1719 ],
"Y": [ 2541 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33320": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 754 ],
"B": [ 1719 ],
"Y": [ 2542 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33321": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2527 ],
"B": [ 2528 ],
"Y": [ 2543 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33322": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2529 ],
"B": [ 2543 ],
"Y": [ 2544 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33323": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2542 ],
"B": [ 2544 ],
"Y": [ 2545 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33324": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2542 ],
"B": [ 2544 ],
"Y": [ 2546 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33325": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2546 ],
"Y": [ 2547 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33326": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2415 ],
"B": [ 371 ],
"Y": [ 2548 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33327": {
"hide_name": 1,
"type": "$_ORNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 84 ],
"B": [ 1861 ],
"Y": [ 2549 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33328": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2520 ],
"B": [ 2549 ],
"Y": [ 2550 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33329": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2548 ],
"B": [ 2550 ],
"Y": [ 2551 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33330": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2516 ],
"B": [ 2551 ],
"Y": [ 2552 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33331": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2552 ],
"Y": [ 2553 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33332": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2045 ],
"B": [ 2553 ],
"Y": [ 2554 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33333": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2547 ],
"B": [ 2554 ],
"Y": [ 2555 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33334": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2541 ],
"B": [ 2545 ],
"Y": [ 2556 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33335": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 758 ],
"B": [ 1723 ],
"Y": [ 2557 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33336": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 758 ],
"B": [ 1723 ],
"Y": [ 2558 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33337": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2557 ],
"B": [ 2558 ],
"Y": [ 2559 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33338": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2556 ],
"B": [ 2559 ],
"Y": [ 2560 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33339": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2560 ],
"Y": [ 2561 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33340": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 85 ],
"B": [ 1862 ],
"Y": [ 2562 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33341": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 101 ],
"B": [ 1859 ],
"Y": [ 2563 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33342": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2562 ],
"B": [ 2563 ],
"Y": [ 2564 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33343": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2519 ],
"B": [ 2564 ],
"Y": [ 2565 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33344": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2516 ],
"B": [ 2565 ],
"Y": [ 2566 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33345": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2566 ],
"Y": [ 2567 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33346": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2061 ],
"B": [ 2567 ],
"Y": [ 2568 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33347": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2561 ],
"B": [ 2568 ],
"Y": [ 2569 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33348": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 762 ],
"B": [ 1727 ],
"Y": [ 2570 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33349": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 762 ],
"B": [ 1727 ],
"Y": [ 2571 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33350": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2556 ],
"B": [ 2557 ],
"Y": [ 2572 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33351": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2558 ],
"B": [ 2572 ],
"Y": [ 2573 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33352": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2571 ],
"B": [ 2573 ],
"Y": [ 2574 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33353": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2571 ],
"B": [ 2573 ],
"Y": [ 2575 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33354": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2575 ],
"Y": [ 2576 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33355": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2574 ],
"B": [ 2576 ],
"Y": [ 2577 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33356": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 86 ],
"B": [ 1862 ],
"Y": [ 2578 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33357": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 102 ],
"B": [ 1859 ],
"Y": [ 2579 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33358": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2578 ],
"B": [ 2579 ],
"Y": [ 2580 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33359": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2519 ],
"B": [ 2580 ],
"Y": [ 2581 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33360": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2516 ],
"B": [ 2581 ],
"Y": [ 2582 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33361": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2582 ],
"Y": [ 2583 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33362": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2078 ],
"B": [ 2583 ],
"Y": [ 2584 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33363": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2577 ],
"B": [ 2584 ],
"Y": [ 2585 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33364": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2570 ],
"B": [ 2574 ],
"Y": [ 2586 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33365": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 766 ],
"B": [ 1731 ],
"Y": [ 2587 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33366": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 766 ],
"B": [ 1731 ],
"Y": [ 2588 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33367": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2587 ],
"B": [ 2588 ],
"Y": [ 2589 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33368": {
"hide_name": 1,
"type": "$_XNOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2586 ],
"B": [ 2589 ],
"Y": [ 2590 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33369": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 413 ],
"B": [ 2590 ],
"Y": [ 2591 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33370": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 103 ],
"B": [ 1859 ],
"Y": [ 2592 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33371": {
"hide_name": 1,
"type": "$_ANDNOT_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 87 ],
"B": [ 1862 ],
"Y": [ 2593 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33372": {
"hide_name": 1,
"type": "$_OR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2592 ],
"B": [ 2593 ],
"Y": [ 2594 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33373": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2519 ],
"B": [ 2594 ],
"Y": [ 2595 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33374": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2516 ],
"B": [ 2595 ],
"Y": [ 2596 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33375": {
"hide_name": 1,
"type": "$_AND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 343 ],
"B": [ 2596 ],
"Y": [ 2597 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33376": {
"hide_name": 1,
"type": "$_NOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2095 ],
"B": [ 2597 ],
"Y": [ 2598 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33377": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2591 ],
"B": [ 2598 ],
"Y": [ 2599 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33378": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 770 ],
"B": [ 1735 ],
"Y": [ 2600 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33379": {
"hide_name": 1,
"type": "$_XOR_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 770 ],
"B": [ 1735 ],
"Y": [ 2601 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33380": {
"hide_name": 1,
"type": "$_NAND_",
"parameters": {
},
"attributes": {
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 2586 ],
"B": [ 2587 ],
"Y": [ 2602 ]
}
},
"$abc$31351$auto$blifparse.cc:377:parse_blif$33381": {
"hide_name": 1,
"type": "$_AND_",
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment