Skip to content

Instantly share code, notes, and snippets.

@luismarques
Created March 9, 2022 15:03
Show Gist options
  • Save luismarques/31a166a91e8ff0996ec8cf973bb1c03a to your computer and use it in GitHub Desktop.
Save luismarques/31a166a91e8ff0996ec8cf973bb1c03a to your computer and use it in GitHub Desktop.
Ibex simple system: disable tracing and logging
diff --git a/examples/simple_system/ibex_simple_system.core b/examples/simple_system/ibex_simple_system.core
index a74ceee3..74c5f7dd 100644
--- a/examples/simple_system/ibex_simple_system.core
+++ b/examples/simple_system/ibex_simple_system.core
@@ -131,7 +131,6 @@ targets:
verilator:
mode: lint-only
verilator_options:
- - "-Wall"
# RAM primitives wider than 64bit (required for ECC) fail to build in
# Verilator without increasing the unroll count (see Verilator#1266)
- "--unroll-count 72"
@@ -156,7 +155,6 @@ targets:
- '--trace-max-array 1024'
- '-CFLAGS "-std=c++11 -Wall -DVM_TRACE_FMT_FST -DTOPLEVEL_NAME=ibex_simple_system -g"'
- '-LDFLAGS "-pthread -lutil -lelf"'
- - "-Wall"
- "-Wwarn-IMPERFECTSCH"
# RAM primitives wider than 64bit (required for ECC) fail to build in
# Verilator without increasing the unroll count (see Verilator#1266)
diff --git a/rtl/ibex_tracer.sv b/rtl/ibex_tracer.sv
index bd36a214..21fa00f9 100644
--- a/rtl/ibex_tracer.sv
+++ b/rtl/ibex_tracer.sv
@@ -124,38 +124,38 @@ module ibex_tracer (
// Write compressed instructions as four hex digits (16 bit word), and
// uncompressed ones as 8 hex digits (32 bit words).
if (insn_is_compressed) begin
- rvfi_insn_str = $sformatf("%h", rvfi_insn[15:0]);
+ //rvfi_insn_str = $sformatf("%h", rvfi_insn[15:0]);
end else begin
- rvfi_insn_str = $sformatf("%h", rvfi_insn);
+ //rvfi_insn_str = $sformatf("%h", rvfi_insn);
end
- $fwrite(file_handle, "%15t\t%d\t%h\t%s\t%s\t",
- $time, cycle, rvfi_pc_rdata, rvfi_insn_str, decoded_str);
+ /*$fwrite(file_handle, "%15t\t%d\t%h\t%s\t%s\t",
+ $time, cycle, rvfi_pc_rdata, rvfi_insn_str, decoded_str);*/
if ((data_accessed & RS1) != 0) begin
- $fwrite(file_handle, " %s:0x%08x", reg_addr_to_str(rvfi_rs1_addr), rvfi_rs1_rdata);
+ //$fwrite(file_handle, " %s:0x%08x", reg_addr_to_str(rvfi_rs1_addr), rvfi_rs1_rdata);
end
if ((data_accessed & RS2) != 0) begin
- $fwrite(file_handle, " %s:0x%08x", reg_addr_to_str(rvfi_rs2_addr), rvfi_rs2_rdata);
+ //$fwrite(file_handle, " %s:0x%08x", reg_addr_to_str(rvfi_rs2_addr), rvfi_rs2_rdata);
end
if ((data_accessed & RS3) != 0) begin
- $fwrite(file_handle, " %s:0x%08x", reg_addr_to_str(rvfi_rs3_addr), rvfi_rs3_rdata);
+ //$fwrite(file_handle, " %s:0x%08x", reg_addr_to_str(rvfi_rs3_addr), rvfi_rs3_rdata);
end
if ((data_accessed & RD) != 0) begin
- $fwrite(file_handle, " %s=0x%08x", reg_addr_to_str(rvfi_rd_addr), rvfi_rd_wdata);
+ //$fwrite(file_handle, " %s=0x%08x", reg_addr_to_str(rvfi_rd_addr), rvfi_rd_wdata);
end
if ((data_accessed & MEM) != 0) begin
- $fwrite(file_handle, " PA:0x%08x", rvfi_mem_addr);
+ //$fwrite(file_handle, " PA:0x%08x", rvfi_mem_addr);
if (rvfi_mem_rmask != 4'b0000) begin
- $fwrite(file_handle, " store:0x%08x", rvfi_mem_wdata);
+ //$fwrite(file_handle, " store:0x%08x", rvfi_mem_wdata);
end
if (rvfi_mem_wmask != 4'b0000) begin
- $fwrite(file_handle, " load:0x%08x", rvfi_mem_rdata);
+ //$fwrite(file_handle, " load:0x%08x", rvfi_mem_rdata);
end
end
- $fwrite(file_handle, "\n");
+ //$fwrite(file_handle, "\n");
endfunction
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment