Skip to content

Instantly share code, notes, and snippets.

View mabarm's full-sized avatar

Mamata Barman mabarm

View GitHub Profile
@mabarm
mabarm / DB0201EN-Week3-1-4-Analyzing-v5-py.ipynb
Created March 19, 2021 19:38
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / DB0201EN-Week3-1-3-SQLmagic-v3-py.ipynb
Created March 19, 2021 19:21
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / DB0201EN-Week3-1-2-Querying-v4-py.ipynb
Created March 19, 2021 19:13
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / DB0201EN-Week3-1-1-Connecting-v4-py.ipynb
Created March 19, 2021 18:35
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / Final Assignment Tesla.ipynb
Created March 18, 2021 21:04
Extraction and visualization of stock data and revenue of Tesla and GameStop
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / WebScraping_Review_Lab.ipynb
Created March 18, 2021 14:57
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / review-introduction.ipynb
Created March 15, 2021 20:46
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / Final_Assignment_Library.ipynb
Created March 14, 2021 12:17
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / 3-1.2ExcecptionHandling.ipynb
Created March 11, 2021 20:55
Created on Skills Network Labs
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@mabarm
mabarm / Final Assignment.ipynb
Created March 11, 2021 20:42
Pandas operations
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.