Skip to content

Instantly share code, notes, and snippets.

@marc1706
Created July 5, 2013 14:55
Show Gist options
  • Save marc1706/db437835b1e54e48895d to your computer and use it in GitHub Desktop.
Save marc1706/db437835b1e54e48895d to your computer and use it in GitHub Desktop.
Processor : ARMv7 Processor rev 2 (v7l)
processor : 0
BogoMIPS : 13.53
processor : 1
BogoMIPS : 13.53
processor : 2
BogoMIPS : 13.53
processor : 3
BogoMIPS : 13.53
Features : swp half thumb fastmult vfp edsp neon vfpv3 tls
CPU implementer : 0x51
CPU architecture: 7
CPU variant : 0x0
CPU part : 0x06f
CPU revision : 2
Hardware : QCT APQ8064 MAKO
Revision : 000a
Serial : 0000000000000000
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment