This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.std_logic_1164.all; | |
entity mux4 is | |
port( | |
en1 : in std_logic; | |
en2 : in std_logic; | |
en3 : in std_logic; | |
en4 : in std_logic; | |
sel : in std_logic_vector(1 downto 0); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
ghdl -a or_gate.vhd | |
ghdl -a testbench.vhd | |
ghdl -e testbench | |
ghdl -r testbench --vcd=or_gate.vcd | |
gtkwave or_gate.vcd |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
entity JKFF is | |
port( | |
j: in std_logic; | |
k: in std_logic; | |
clk: in std_logic; | |
rst: in std_logic; | |
q: out std_logic; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
//sketch processing | |
import processing.serial.*; | |
Serial portaArduino; | |
int lf = 10; //ASCII linefeed | |
String RecebidaString; | |
String display; | |
float ac_x = 0.0; | |
float ac_y = 0.0; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
entity mux_4x1_comportamental is | |
PORT ( | |
a, b, c, d : IN STD_LOGIC; | |
sel : in std_logic_vector(1 downto 0); | |
x: OUT STD_LOGIC | |
); | |
end mux_4x1_comportamental; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <avr/io.h> | |
#include <util/delay.h> | |
#include <util/atomic.h> | |
#include <avr/interrupt.h> | |
volatile unsigned long timer1_millis; // faixa de valores vai de 0 a 4.294.967.295 (2^32 - 1) | |
//-------------------------------------------------------- | |
// rotina de interruoção do TIMER1 | |
ISR(TIMER1_COMPA_vect) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// STM32F100 and SI4032 RTTY transmitter | |
// released under GPL v.2 by anonymous developer | |
// enjoy and have a nice day | |
// ver 1.5a | |
// Codigo original em: https://github.com/df8oe/RS41HUP | |
// ensaio v00 para avaliar comunicacao pela porta de debug USART3 | |
// implementada rotina para receber e enviar caracteres | |
// 22/08/2019 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee ; | |
use ieee.std_logic_1164.all; | |
use work.all; | |
entity dff is | |
port( dado: in std_logic; | |
clock: in std_logic; | |
saida: out std_logic | |
); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- Simple OR gate design | |
library IEEE; | |
use IEEE.std_logic_1164.all; | |
entity or_gate is | |
port( | |
a: in std_logic; | |
b: in std_logic; | |
q: out std_logic); | |
end or_gate; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- Testbench for OR gate | |
library IEEE; | |
use IEEE.std_logic_1164.all; | |
entity testbench is | |
-- empty | |
end testbench; | |
architecture tb of testbench is |
NewerOlder