Skip to content

Instantly share code, notes, and snippets.

@mattip
Created October 30, 2015 01:29
Show Gist options
  • Save mattip/862860921b06a3527bb7 to your computer and use it in GitHub Desktop.
Save mattip/862860921b06a3527bb7 to your computer and use it in GitHub Desktop.
Reply to PyPy deniers
You might be surprised, give it a chance. There are use cases for pure Python JIT accelerated code especially when dealing with small ndarrays since the Python-to-c conversions can require memcpy.
The MyHDL project shows some nice performance boost when using PyPy [0], simulations were comparable to commercial VHDL and HDL simulators.
The puppy team demonstrated real time image processing (30 fps Sobel filtering) a few years ago, in pure Python [1]
[0] http://old.myhdl.org/doku.php/performance
[1] http://morepypy.blogspot.com/2011/07/realtime-image-processing-in-python.html
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment