Skip to content

Instantly share code, notes, and snippets.

@maxymania
Created August 14, 2017 19:03
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save maxymania/d86596b9dfecdb21c15c730af41cc476 to your computer and use it in GitHub Desktop.
Save maxymania/d86596b9dfecdb21c15c730af41cc476 to your computer and use it in GitHub Desktop.
Chisel 3
scalaVersion := "2.11.7"
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "latest.release"
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "latest.release"
import chisel3._
object MainObj extends App {
def ags = "--v --targetDir generated".split("\\s+")
chisel3.iotesters.chiselMain(ags, ()=> new my.mod.cls.Module)
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment