Skip to content

Instantly share code, notes, and snippets.

Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save miku/2e90a9c3ca6b02169af51d7983f7b41f to your computer and use it in GitHub Desktop.
Save miku/2e90a9c3ca6b02169af51d7983f7b41f to your computer and use it in GitHub Desktop.
IAM On-line Gaussian Mixture Model with Recurrent Neural Network
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"References:\n",
"otoro's [post](http://blog.otoro.net/2015/12/12/handwriting-generation-demo-in-tensorflow/)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Model Definition\n",
"\n",
"Implementation summary\n",
"- Two layer GRU + linear. The linear layer maps GRU outputs from [-1,1] to [-inf, inf]\n",
"- use logsumexp( ) for numerical stability with close to zero values\n",
"- 2D Gaussian sampling impleneted with Gibb's sampling\n",
"- Default dropout rate = 0.2, rnn_size = 256, number of Gaussian used = 20.\n",
"- No peephole connections.\n",
"\n",
"### Intuition\n",
"\n",
"*In human language*: Each line of writing consists of strokes. Each stroke consists of points. We are going to predict random handwritings on the point level. Namely, given a current point, predict the next point position.\n",
"\n",
"*In modeling language*: Use a Gaussian mixture model(GMM) to predict the distribution of points. GMM hyper-parameters are predicited by a neural network, based on the previous point and a hidden state. This design is similar to Character-level RNN, in which we replace each point with a character and replace GMM with multinomial logistic regression.\n",
"\n",
"### Input layer\n",
"Each stroke consists of a series of connected points (x,y), but $\\Delta_x ,\\Delta_y$ are used as inputs instead. The end of stroke (eos) signal is also included in the input. When eos equals one, the pen is lifted, and a continuous stroke is finished. As a result, the input layer dimension is [sequence_size, batch_size, 3].\n",
"\n",
"### Output layer\n",
"The output layer contains hyper-parameters for a Gaussian mixture model and a Bernoulli distribution. \n",
"\n",
"- The Gaussian mixture model is used to predict the next $\\Delta_x ,\\Delta_y$ segament based on the current value. $\\Pi_i$'s are weights assigned to each Gaussian.\n",
"\n",
"$pdf(\\Delta_x ,\\Delta_y) = \\sum_{i=1}^{20}{\\Pi_i \\cdot N(\\mu, \\Sigma})$\n",
"\n",
"- The Bernoulli mixture model is used to predict the next eos signal with a binary logistic regression model.\n",
"\n",
"$pmf(eos) = sigmoid(logit)$\n",
"\n",
"### Loss function\n",
"\n",
"The intuition is to maximize likelihoods/probability of observing training data, so the loss fuciton is naturally the negative sum of Gaussian and Berboulli. \n",
"- Quite commonly, we maximize their logarithm values instead. \n",
"- Gaussian loss is in fact much larger than Bernoulli loss, but there is no need to worry one would overshadow the other. Because backpropagation follows symbolic graph, gradients are automatically sapareted for the two loss functions.\n",
"\n",
"#### Some handy equations\n",
"> **Bivariate Gaussian Distribution** from [wolfram](http://mathworld.wolfram.com/BivariateNormalDistribution.html).\n",
"![](http://mathworld.wolfram.com/images/equations/BivariateNormalDistribution/NumberedEquation1.gif)\n",
"where\n",
"![](http://mathworld.wolfram.com/images/equations/BivariateNormalDistribution/NumberedEquation2.gif)\n",
"\n",
"\n",
"> **Bernoulli distribution** from [wiki](https://en.wikipedia.org/wiki/Bernoulli_distribution)\n",
"![](https://wikimedia.org/api/rest_v1/media/math/render/svg/db3fd41462403c968c851f840407813d1f801fb8)\n",
"\n",
"\n",
"> **Equations for Gibbs sampling** from [here](http://www.maths.qmul.ac.uk/~ig/MTH5118/Notes11-09.pdf).\n",
"\n",
">marginal $ pdf_y = \\frac{1}{\\sqrt{2\\pi}\\sigma_2} \\exp{(- \\frac{(y-\\mu_2)^2}{2\\sigma_2^2})}$\n",
"\n",
"> conditional $ pdf_{x|y} = \\frac{1}{\\sqrt{2\\pi (1-\\rho^2)}\\sigma_1} \\exp{(- \\frac{(x-a(y))^2}{2\\sigma_1^2 (1-\\rho^2)})}$\n",
"\n",
"> where\n",
"\n",
"> $ a(y) = \\mu_1 + \\rho \\frac{\\sigma_1}{\\sigma_2} (y-\\mu_2) $"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"import random\n",
"import math\n",
"import torch\n",
"from torch import nn, optim\n",
"from torch.autograd import Variable\n",
"\n",
"def logsumexp(x):\n",
" x_max, _ = x.max(dim=1,keepdim=True)\n",
" x_max_expand = x_max.expand(x.size())\n",
" res = x_max + torch.log((x-x_max_expand).exp().sum(dim=1, keepdim=True))\n",
" return res\n",
"\n",
"class GaussianHandWriting(nn.Module):\n",
" def __init__(self, n_gaussian=20,dropout=0,rnn_size=256):\n",
" super(GaussianHandWriting, self).__init__()\n",
" self.n_gaussian = n_gaussian\n",
" self.rnn_size=rnn_size\n",
" self.n_output = 1 + n_gaussian*6\n",
" self.rnn = nn.GRU(3,self.rnn_size,2,dropout=dropout)\n",
" self.linear = nn.Linear(self.rnn_size,self.n_output)\n",
" def forward(self, input, hidden=None):\n",
" output, hidden = self.rnn(input,hidden)\n",
" output = output.view(-1,self.rnn_size)\n",
" output = self.linear(output)\n",
" mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits, z0_logits = \\\n",
" output.split(self.n_gaussian,dim=1)\n",
" rho = nn.functional.tanh(rho)\n",
" return mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits, z0_logits, hidden\n",
" def calculate_loss(self, x, xNext, hidden=None):\n",
" batch_size = x.size(1)\n",
" mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits, z0_logits, hidden = self.forward(x, hidden)\n",
" xNext = xNext.view(-1,3)\n",
" x1, x2, x_eos = xNext.split(1,dim=1)\n",
" loss1 = - self.logP_gaussian(x1, x2, mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits)\n",
" loss2 = nn.functional.binary_cross_entropy_with_logits(z0_logits,x_eos,size_average=False)\n",
" loss = (loss1 + loss2)/batch_size # average over mini-batch\n",
" return loss, hidden\n",
" def logP_gaussian(self,x1, x2, mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits):\n",
" x1, x2 = x1.repeat(1,self.n_gaussian), x2.repeat(1,self.n_gaussian)\n",
" sigma1, sigma2 = log_sigma1.exp(), log_sigma2.exp()\n",
" log_pi = nn.functional.log_softmax(pi_logits)\n",
" z_tmp1, z_tmp2 = (x1-mu1)/sigma1, (x2-mu2)/sigma2\n",
" z = z_tmp1**2 + z_tmp2**2 - 2*rho*z_tmp1*z_tmp2\n",
" # part one\n",
" log_gaussian = - math.log(math.pi*2)-log_sigma1 - log_sigma2 - 0.5*(1-rho**2).log()\n",
" # part two\n",
" log_gaussian += - z/2/(1-rho**2)\n",
" # part three\n",
" log_gaussian = logsumexp(log_gaussian + log_pi)\n",
" return log_gaussian.sum()\n",
" def draw_one_sample(self, mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits, z0_logits):\n",
" # draw Gaussian mixture\n",
" pi = nn.functional.softmax(pi_logits)\n",
" idx, = random.choices(range(self.n_gaussian), weights = pi.data.tolist()[0])\n",
" sigma1, sigma2 = log_sigma1.exp(), log_sigma2.exp()\n",
" x1 = torch.normal(mu1[:,idx],sigma1[:,idx]) # size = 1,\n",
" mu2 = mu2+rho*(log_sigma2-log_sigma1).exp()*(x1-mu1)\n",
" sigma2 = (1-rho**2)**0.5 * sigma2\n",
" x2 = torch.normal(mu2[:,idx], sigma2[:,idx])$\\Delta\n",
" p_bernoulli = nn.functional.sigmoid(z0_logits)\n",
" eos = torch.bernoulli(p_bernoulli)\n",
" return torch.cat([x1,x2,eos]).view(1,1,3)\n",
" def generate(self, x0, hidden=None, n=100):\n",
" res = []\n",
" sample = x0\n",
" for i in range(n):\n",
" mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits, z0_logits, hidden = \\\n",
" self.forward(sample, hidden)\n",
" sample = self.draw_one_sample(mu1, mu2, log_sigma1, log_sigma2, rho, pi_logits, z0_logits)\n",
" res.append(sample.data.tolist()[0][0])\n",
" return res\n",
"\n",
"#For Test\n",
"#\n",
"# x = Variable(torch.rand(5,10,3))\n",
"# net = GaussianHandWriting(n_gaussian=10,dropout=0.2)\n",
"# net(x)\n",
"# net.calculate_loss(x[:-1],x[1:])\n",
"# net.generate((Variable(torch.ones(1,1,3))))"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Utility functions to process IAM On-line handwriting database (XML)\n",
"\n",
"IAM database is available from [here](http://www.fki.inf.unibe.ch/databases/iam-on-line-handwriting-database). Registration is needed for a free download. This part is boring. Samples aare shown in the figure below. Each stroke is colored differently.\n",
"\n",
"- Use beautifulsoup to parse XML files.\n",
"- extract_points( ) function reads one XML file, and extract raw coordinates (x,y).\n",
"- sample_xml( ) function utilizes the above funtion, samples random XML files and calculates ($\\Delta_x,\\Delta_y$). Values are clipped between -500 and 500. The *factor* is used to scaled downward the coordinates.\n",
"- plot_points( ) helps to visulize handwriting data.\n",
"- batch_generator( ) provides unlimited batch data for the training later."
]
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAD8CAYAAAB+Q1lpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERERiSsKqiIiIiIiIhJX/j8GzZWRrAP3uQAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10eea4cc0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"%matplotlib inline\n",
"from matplotlib import pyplot as plt\n",
"from bs4 import BeautifulSoup as Soup\n",
"import numpy as np\n",
"import glob\n",
"\n",
"def extract_points(filename):\n",
" with open(filename,'r') as f:\n",
" soup = Soup(f.read(),'lxml')\n",
" pts = [ [float(pt['x']), float(pt['y'])] for pt in soup.find_all('point')]\n",
" pen_lifts = []\n",
" for stroke in soup.find_all('stroke'):\n",
" pen_lifts += [0]*(len(stroke.find_all('point'))-1) +[1]\n",
" return pts, pen_lifts\n",
"\n",
"path = '/Users/winter/Downloads/lineStrokes/**/*.xml'\n",
"all_files = list(glob.iglob(path,recursive=True))\n",
"\n",
"def sample_xml(batch_size=1, factor=20):\n",
" pts = []\n",
" pen_lifts = []\n",
" for filename in np.random.choice(all_files,batch_size,replace=False):\n",
" _pts, _pen_lifts = extract_points(filename)\n",
" _pts, _pen_lifts = np.array(_pts), np.array(_pen_lifts)\n",
" _pts, _pen_lifts = _pts - np.roll(_pts,1,axis=0), _pen_lifts\n",
" _pts[0][0] = 100\n",
" _pts[0][1] = 0\n",
" _pen_lifts[0] = 1\n",
" _pts = np.minimum(np.maximum(_pts,-500),500)/factor\n",
" pts += _pts.tolist()\n",
" pen_lifts += _pen_lifts.tolist()\n",
" res = [v1+[v2,] for v1,v2 in zip(pts,pen_lifts)]\n",
" return res\n",
"\n",
"def plot_points(data):\n",
" plt.figure(figsize=[16,4])\n",
" plt.gca().invert_yaxis()\n",
" plt.axis('equal')\n",
" pts = np.array(data).cumsum(axis=0)\n",
" data[-1][-1] = 1\n",
" idx = [i for i, v in enumerate(data) if data[i][-1]==1]\n",
" start = 0\n",
" for end in idx:\n",
" tmp = pts[start:end+1]\n",
" plt.plot(tmp[:,0], tmp[:,1], linewidth=2)\n",
" start = end+1\n",
" \n",
"def batch_generator(seq_size=300, batch_size=50):\n",
" cache = []\n",
" data_size = seq_size*batch_size\n",
" while True:\n",
" if len(cache)<data_size:\n",
" cache += sample_xml(1000)\n",
" else:\n",
" x = torch.Tensor(cache[:data_size])\\\n",
" .view(batch_size,seq_size,3)\\\n",
" .transpose(0,1)\\\n",
" .contiguous()\n",
" cache = cache[data_size:]\n",
" yield Variable(x)\n",
" \n",
"data = sample_xml(2)\n",
"plot_points(data)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Training\n",
"\n",
"The whole training process is unsupervised. Following regularizations are used.\n",
"- Adam Optimizer\n",
"- Gradient clipping\n",
"- Dropout rate = 0.2\n",
"\n",
"Make sure to detach hidden states ater gradiens updates. Otherwise, hidden states are still connected to previous graphs. \n",
"\n",
"If you look at the calculate_loss function defined in the model class, the loss is divided only by batch_size. If the loss is divided by *sequence_size*, it is equivalent to reduce learning rate to *1/sequence_size*."
]
},
{
"cell_type": "code",
"execution_count": 19,
"metadata": {
"collapsed": false,
"scrolled": false
},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 0, loss 1720.8404541015625\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6QAAAEICAYAAABI/jlhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10c70dd30>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 10, loss 715.0220947265625\n",
"epoch 20, loss 371.820068359375\n",
"epoch 30, loss 321.6590576171875\n",
"epoch 40, loss 243.1714630126953\n",
"epoch 50, loss 196.3934783935547\n",
"epoch 60, loss 130.6112060546875\n",
"epoch 70, loss 170.08526611328125\n",
"epoch 80, loss 170.83233642578125\n",
"epoch 90, loss 177.57835388183594\n",
"epoch 100, loss 109.2279281616211\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA64AAAEICAYAAABf3KmoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xd4lfX9//Hn55zsQXYgZBBGGDJkBBBQHCgVUbQuah1V\n21rbWqudtn67rK3tr61aV+uoo060ouKoAi6QIXvIDishYWTvfT6/P84BogIFcpI7Oef1uK5z5eTc\n9zn366CQ8877M4y1FhEREREREZGuyuV0ABEREREREZFjUeEqIiIiIiIiXZoKVxEREREREenSVLiK\niIiIiIhIl6bCVURERERERLo0Fa4iIiIiIiLSpalwFRERcZAxJtsYY40xIU5nERER6apUuIqIiHQj\nxpizjTEfGmMqjTG7jnA823e8zhiz2Rhz7heOf90Ys9sYU2uMed0Yk9hp4UVERE6SClcREZHupRZ4\nEvjpUY6/CKwGkoA7gf8YY1IAjDFDgUeBa4GeQB3wSEcHFhERaS8VriIiIm0YY3obY141xhQbY3Ya\nY25tc+y3xpj/GGNmGWOqjTGrjDGntjk+xBjzkTGmwhizwRgzo82xSGPM33zdzkpjzCfGmMg2l77a\nGJNvjCkxxtx5tHzW2mXW2meBHUfIPhAYDfzGWltvrX0VWAdcdvAawJvW2gXW2hrgV8ClxpjYk/vT\nEhER6RwqXEVERHyMMS7gTWAtkA5MAW4zxnylzWkXA68AicALwOvGmFBjTKjvuXOBVOAHwPPGmEG+\n5/0VGANM9D33Z4CnzeueDgzyXfPXxpghJ/EWhgI7rLXVbR5b63v84PG1Bw9Ya7cDjcDAk7iWiIhI\np1HhKiIicthYIMVae5e1tslauwN4HPham3NWWmv/Y61tBu4FIoDTfLcY4E++534AvAVc5SuIbwR+\naK0ttNa2WmsXW2sb27zu73xd0rV4i8tTOXExQOUXHqsCYo/zuIiISJekFQxFREQO6wP0NsZUtHnM\nDSxs833BwTvWWo8xZg/Q++Axa23bLupuvJ3bZLwF7vZjXHtfm/t1eIvME1UD9PjCY3FA9XEeFxER\n6ZJUuIqIiBxWAOy01uYc45zMg3d8ndQMoOjgMWOMq03xmgVsBUqABqA/bYbqdoANQD9jTGyb4cKn\nAs+3Od52Tm5/IMyXUUREpMvSUGEREZHDlgHVxpif+xZTchtjhhljxrY5Z4wx5lLfvqu34Z0juhT4\nFG+n9Ge+Oa9nARcBL/kK2SeBe32LP7mNMROMMeEnGtAY4zLGRACh3m9NhDEmDMBauxVYA/zG9/il\nwHDgVd/TnwcuMsacYYyJBn4PzP7CnFgREZEuR4WriIiIj7W2FbgQGAnsxNspfQLvcNqD3gBmAuV4\nt5W51FrbbK1twluoTvM97xHgOmvtZt/zfgKsB5YDZcCfObmfw5OBeuAdvB3derwLQh30NSDXl+8e\n4HJrbbHv/W0AbsZbwB4AooHvnUQGERGRTmWstU5nEBER6RaMMb8FBlhrr3E6i4iISDBRx1VERERE\nRES6NBWuIiIiIiIi0qVpqLCIiIiIiIh0aeq4ioiIiIiISJfWpfdxTU5OttnZ2U7HEBERERERET9b\nuXJlibU25XjO7dKFa3Z2NitWrHA6hoiIiIiIiPiZMWb38Z6rocIiIiIiIiLSpalwFRERERERkS5N\nhauIiIiIiIh0aSpcRUREREREpEtT4SoiIiIiIiJdmgpXERERERER6dJUuIqIiIiIiEiXpsJVRES6\nlNrGFn4xex3PLN6Fx2OdjiMiIiJdQIjTAURERNr695LdvLisAIB3P9vH3648ld7xkQ6nEhERESep\n4yoiIl1GS6uHZ5fsAiA6zM2SHaV85f4FvLGm0NFcIiIi4iwVriIi0mW8t2E/RZUN9EuO5sOfnsW5\nQ1Kpbmjhhy+t4QcvrqayrtnpiCIiIuIAFa4iItJlPLVoJwDXT8omNTaCx6/L5Z5LhxMV5ubNtUWc\n//cFLMorcTiliIiIdLZ2Fa7GmL8YYzYbY9YZY14zxsQf5bxdxpj1xpg1xpgV7bmmiIgEpnV7Klix\nu5zYiBAuG50BgDGGq8Zl8c6tZzAyM569lQ1c/cSn/P6tjTQ0tzqcWERERDpLezuu84Bh1toRwFbg\nF8c492xr7UhrbW47rykiIgHoqUW7AJiZm0l0+OfXDsxOjuY/N0/g9nMH4nYZ/vXJTi5+aBEbi6oc\nSCoiIiKdrV2Fq7V2rrW2xfftUiCj/ZFERCTYHKhq4K11RbgMfGNi9hHPCXG7+OG5Obz63Yn0TY5m\ny/5qLnl4EY9+vJ1WbZsjIiIS0Pw5x/VG4L9HOWaB+caYlcaYm471IsaYm4wxK4wxK4qLi/0YT0RE\nuqrnPs2nudVy3ik9yUyMOua5IzPjefvW07nmtCyaWj3c89/NXP3EUmobW475PBEREem+/mfhaoyZ\nb4z57Ai3i9uccyfQAjx/lJc53Vo7EpgGfN8YM/lo17PWPmatzbXW5qakpJzg2xERke6msaWVFz7d\nDcANk/oe13OiwkK4+5LhPHX9WJJjwlm6o4y/zt3SkTFFRETEQf+zcLXWnmutHXaE2xsAxpjrgQuB\nq621RxyrZa0t9H09ALwGjPPbOxARkW7tzbV7KalpYkhaD8b3TTyh5549OJWnbxiLy8Azi3exbk9F\nB6UUERERJ7V3VeHzgZ8BM6y1dUc5J9oYE3vwPjAV+Kw91xURkcBgrT20Bc4Nk7IxxpzwawxLj+PG\nSX3xWPjF7PW0tHr8HVNEREQc1t45rg8BscA831Y3/wQwxvQ2xrzjO6cn8IkxZi2wDHjbWvtuO68r\nIiIBYPmucjYUVZEUHcaMU3uf9Ovcft5A0uMj2VBUxdOLd/kvoIiIiHQJIf/7lKOz1g44yuNFwAW+\n+zuAU9tzHRERCUxPfuLttn59fBYRoe6Tfp3o8BDuungo33xmBffO28q04Wmkx0f6K6aIiIg4zJ+r\nCouIiBy3grI65m7cR6jbcM1pfdr9elOG9OSC4b2oa2rl169/xlGWXRAREZFuSIWriMhRFJTVcfUT\nS/mssNLpKAHp2aW78ViYPjyNnj0i/PKav7loKLHhIby/+QDvfrbPL68pIiIizlPhKiLyBdZaXl5e\nwPn3L2BRXin3/HeT05ECTl1TCy8tyweOfwuc49GzRwQ/O38QAL+Zs4Gqhma/vbaIiIg4R4WriEgb\nJTWN3PTsSn726jpqm1qZNqwXD1412ulYAefVVYVUNbQwOiueUzPj/fraXx/fh5GZ8RyobuSv72lv\nVxERkUCgwlVExGfuhn185b4FzNu4n9iIEO6beSqPXD2axOgwp6MFFI/H8vShLXD81209yO0y3HPp\ncNwuw7NLd7M6v9zv1xAREZHOpcJVRIJedUMzP31lLTc9u5LS2iYm9k/ivdsm89VRGSe1r6gc28K8\nErYX19KrRwTnD+vVIdcYktaDb53RF+vb27VZe7uKiIh0aypcRSSofbqjlGl/X8grK/cQHuLi1xee\nwnPfHE9vbaXSYQ5ugXPdxD6Eujvux9BtUwaSkRDJ5n3VPLFwZ4ddR0RERDpeu/ZxFRHprhqaW7l3\n3lYeX7gDa2F4ehz3zTyVAamxTkcLaHkHavh4azERoS6uGpvVodeKDHNz9yXDuP6p5fz53c088lEe\nWYlRZCZEkZUURWZCJJmJUWQmRpGREEl4yMnvIysiIiIdS4WriASdjUVV3D5rDVv2V+N2Gb5/dn9+\nMCWnQ7t/4vXM4l0AfHVUOgmdMHf4rEGp/OCcAfzrk51UN7SwoaiKDUVVXzovNiKE/5s+hCtzMzU8\nXEREpAsyXXmD9tzcXLtixQqnY4hIAHl73V5um7Wa5lZL3+Ro7r3yVEZlJTgdKyhU1jcz4Z73qWtq\n5b3bJjOoV+d1t621lNU2kV9WR0F5PQVldRSU1ZFfVsfu0joKK+oBOHtQCn+6bITf9pUVERGRozPG\nrLTW5h7Pueq4ikhQ+cfHeTS3Wq4al8mvLjyFqDD9M9hZXl5eQF1TK5MGJHVq0QpgjCEpJpykmPAv\n/aLCWssba4r49Ruf8eGWYqbet4DfXzKMi0akqfsqIiLSRWhcnIgEDY/HknegBoA7pg1R0dqJWj2W\nZ5bsAuCGif7fAqc9jDFcMiqdubefyZkDU6isb+bWF1dzywurKattcjqeiIiIoMJVRIJIYUU9Dc0e\nUmPDiYsMdTpOUJm3cT97yuvpkxTFOYNTnY5zRL3iInj6hrHcc+lwosPcvL1+L1Pv+5i5G/Y5HU1E\nRCToqXAVkaBxsNua0zPG4STB58lF3u1orp+YjcvVdYffGmO4alwW7942mfF9EympaeKmZ1fyo5fX\nUFnf7HQ8ERGRoKXCVUSCxrYD1QDkaMubTrWhqJJlO8uICQ/h8jEZTsc5LpmJUbz47dP49YWnEB7i\nYvaqQs6/fwELthY7HU1ERCQoqXAVkaCxbb+349o/VR3XzvTUol0AXJGbQWxE9xmi7XIZbjy9L+/8\n8AxGZsazt7KB655cxp2vrae2scXpeCIiIkFFhauIBI1tB4cKq3DtNCU1jcxZU4Qx3mHC3VH/lBj+\nc/MEfvqVQYS6Dc9/ms+0vy9k2c4yp6OJiIgEDRWuIhIUrD28orAK187zwqf5NLV6mDI4lT5J0U7H\nOWkhbhffP3sAc245nSFpPcgvq2PmY0v4w9sbaWhudTqeiIhIwFPhKiJBYV9VAzWNLSRGh5EUE+50\nnKDQ1OLh2aW7AbhxUtfaAudkDUnrwRvfn8QPzhmAyxgeX7iT6Q8sZOVudV9FREQ6kgpXEQkKB7ut\nA1LUbe0sb68vori6kUE9Y5nQP8npOH4TFuLix1MH8ep3J9I/JZrtxbVc9o8lXPrIIt5YU0hTi8fp\niCIiIgHHL4WrMeZ8Y8wWY0yeMeaOIxw3xpgHfMfXGWNG++O6IiLH6+DCTAO0FU6nsNYeWpTphknZ\nGNN1t8A5WSMz43n71jP43ln9iY0IYVV+BT98aQ2T/vwB98/fyoHqBqcjioiIBIx2F67GGDfwMDAN\nOAW4yhhzyhdOmwbk+G43Af9o73VFRE6EFmbqXKvyy1m3p5KEqFAuGZXudJwOExHq5mfnD2bpL6Zw\n9yXDGNgzhuLqRu6fv41Jf/qAW19czcrd5VhrnY4qIiLSrYX44TXGAXnW2h0AxpiXgIuBjW3OuRj4\nt/X+5F5qjIk3xqRZa/f64foiIv9TnvZw7VRP+rqtV43LIiLU7WyYThAdHsI1p/Xh6vFZLNlRyjOL\ndzFv437mrC1iztoihqfH8Y2J2Vw4Ii0o/jxERET8zR+FazpQ0Ob7PcD44zgnHfhS4WqMuQlvV5as\nrCw/xBORYGetPdRxHaCOa4crqqjn3c/24XYZrp3Qx+k4ncoYw8T+yUzsn8ye8jqeW5rPS8vzWV9Y\nyU9eWcsf39nEVeMymTQgmZ49IujZI4KYcH/8KBYREQlsXe6npbX2MeAxgNzcXI2tEpF2K69rpqKu\nmZjwEHr20IrCHe3Zpbtp9VguOrU3aXGRTsdxTEZCFHdMG8xt5+YwZ20RzyzexYaiKh7+cDsPf7j9\n0HnRYW569oggtUf4oWI2NTacXnHe+z1jvcfUqRURkWDmj8K1EMhs832G77ETPUdEpEM0tnj32YwJ\nDwnIRYK6kvqmVl5clg94F2US7zzYK3MzuWJMBit3l/PyigJ2ldZxoKqBfVUN1Da1sqOklh0ltcd8\nncG9Yjl3SE/OO6Unw9PjcLn0/7KIiAQPfxSuy4EcY0xfvMXo14Cvf+GcOcAtvvmv44FKzW8VEQk8\nr60upKKumVMz4xmdleB0nC7FGENudiK52YmHHrPWUtXQwoGqBvZXNbK/qoH91Q0c8N3fV+W9f6C6\ngc37qtm8r5qHPswjNTacKUN6MvWUnkzon3TMbmxtYwt7KxvYV9lAQ3Mrbrch1OXC7TJEhbkZ2rsH\nIW7tjiciIl1buwtXa22LMeYW4D3ADTxprd1gjLnZd/yfwDvABUAeUAfc0N7riohI12Kt5d9LdgFw\no7qtx8UYQ1xkKHGRoeT0PPrCYY0trXy6o4z5m/Yzf+N+iiobeHFZPi8uyycqzM0ZOcmc1i+J6oYW\n9lbWs7eygb0VDeytrKeqoeWYGUZkxPHgVaPokxTt77cnIiLiN6YrL9Gfm5trV6xY4XQMEenm9lbW\nM+GeD+jVI4Klv5zidJyAtaaggkseXkRidBhLfzGFsBB18TqCtZYNRVXeInbTfj4rrDrm+WEhLtLi\nIkiLiyA6LIQWj6XF46Gl1bKzpJYD1Y3EhIfwx0uHM+PU3p30LkRERMAYs9Jam3s853a5xZlERKR7\nmrXcu3j8ZaPTVbR2IGMMw9LjGJYex23nDqSoop73fQVsUkwYaXER9IqLPFSsJkaHHXVud2V9M3e8\nuo7/fraPW19czaJtJfxmxilEhenjgYiIdC36ySQiIu1W29jCnDXeNfdmjs38H2eLP/WOj+TaCdkn\n9dy4yFAeuXo0LyzL5643NzJrRQEr88u5MjeDHhHeIcxxUaGMyIjXtj0iIuIo/RQSEZF2e3v9Xmqb\nWhnTJ4EBqUefqyldjzGGq8f3YUyfBG55YTV5B2r44zubP3dOUnQYPz9/MJePydBqxiIi4ggVriIi\n0m4v+4YJq9vafQ3u1YM5t0zipWUFFFXUU1nfTGV9M/lldWzeV83PXl3H88vy+d2MoYzMjHc6roiI\nBBkVriIi0i55B6pZsbuc6DA304enOR1H2iEqLIQbT+/7ucestcxZW8Qf39nEWt8CXDNzM/n5tMEk\nRoc5lFRERIKNVs8QEZF2eXnFHgBmjOxNtOZBBhxjDBePTOf9H5/Fd87sR6jbMGtFAWf/9SOe/3Q3\nrZ6uuzuBiIgEDhWuIiJy0ppaPLy60lu4zhyb5XAa6Ugx4SH8YtoQ/vvDyZw+IJnK+mbufO0zvvrI\nItYWVDgdT0REApwKVxEJGhZ1hvzt/U37Ka1tYlDPWE7NiHM6jnSCAakxPPvNcTz89dH06hHBuj2V\nXPLIIn4xez27S2udjiciIgFKY7pEJOAZtApqR5m14vCiTEfbK1QCjzGG6SPSOGtQCg98sI1/LdzJ\ni8vyeXFZPqdmxjPj1N5cNCKN1B4RTkcVEZEAocJVREROSlFFPR9vLSbM7eKro9KdjiMOiPYNH75i\nTAYPf7id9zbsY21BBWsLKrj77Y2c1jeJGSN7M21YL+KjtJCTiIicPBWuIiJyUl5ZsQdrYerQniRo\nddmgNiA1lvtmjqS+qZX3N+9nzpoiPtpSzJIdpSzZUcpv3tjAhaemcf3EbEZkaCsdERE5cSpcRUTk\nhHk8lpd9w4S/pkWZxCcyzM2FI3pz4YjeVNY3896Gfby5tohP8kqYvaqQ2asKGZUVz/UTs5k2LI2w\nEC21ISIix0eFq4iInLBF20sorKgnIyGSif2TnI4jXVBcZChX5mZyZW4m+aV1/HvJLmatKGB1fgWr\n89dwd+wmrh6fxdfHZ5Eaq7mw3UVzq4ffztlA7/hIvn/2AKfjiEgQUeEqIiIn7KXl3m7rlbmZuFxa\nlEmOLSspiv+78BR+NHUgr60u5JnFu9i6v4b752/j4Q/zuGB4Gt+YmM2ozHgt8tXF/X3+Np7/NB+A\nqaf0JKdnrMOJRCRYaIyOiIickLLaJuZt2I/LwOVjMpyOI91IVFgIV4/vw3u3TeaFb4/nK0N70uqx\nvLGmiEsfWczFDy9i9qo9NLa0Oh1VjmDx9hIe/ijv0PePLtjhYBoRCTYqXEVE5IS8trqQplYPkwem\n0Ds+0uk40g0ZY5jYP5lHr81lwc/O5jtn9iM+KpR1eyr50ctrmfSnD/jb3C3sr2pwOqr4lNc28aNZ\na7HW+wsrl4E31hSyt7Le6WgiEiRUuIqIyHGz1jJruXeY4NfGZjqcRgJBRkIUv5g2hCV3TOHPlw1n\ncK9YSmqaePCDPCb96QNufXE1q/LLsdY6HTVoWWv52avr2FfVQG6fBP506XCmDU+judXy1KJdTscT\nkSChwlVERI7bmoIKtu6vITkmjHMG93Q6jgSQyDA3M8dm8d8fnsGsm07jguG98FjLnLXeYcSXPLyI\n11ZrGLETnvs0n3kb9xMbEcL9XxtJiNvFzZP7A/DCp/lU1jc7nFBEgoEKVxEJeK3q1PjNLN+iTJeO\nztBWJtIhjDGM75fEI1ePYeHPz+HmM/sTHxXK2j2V3D5rLZP+9CH3z9/KgWoNI+4M1Q3N/OXdzQDc\nc+lwMhKiABieEcfE/knUNLbw1roiJyOKSJDQpw4RCXj5pXUAmo/ZTrWNLby51vsB9cpcDROWjpce\nH8kd0waz5I4p/OnSg8OIG7l//jYm/ekD7p27RUOIO1hsRCizvjOB288dyIUjen/u2NmDUgHYtLfK\niWgiEmS0HY6IBLy8A9UA5KTGOJyke3t73V5qm1oZm53AAP1ZSieKDHPztXFZzBybydIdZTy9eCfz\nNu7ngQ/yCA91az/RDjYkrQdD0np86fGcnt5/B7btr+nsSCIShNrdcTXGZBpjPjTGbDTGbDDG/PAI\n55xljKk0xqzx3X7d3uuKiByvvAPeD1U5qdpvsD1e8i3KpG6rOMUYw4T+STx6bS4PXDUKY+Av723h\npWX5TkcLSgN9e7huO6DCVUQ6nj86ri3Aj621q4wxscBKY8w8a+3GL5y30Fp7oR+uJyJyQg5+qBrQ\nU13Ck7VtfzWr8iuICQ9h+og0p+OIcOGI3pTXNfOr1z/jl6+tJz4qjPOH9XI6VlBJjgnH7TKU1TZR\n09hCTLgG8olIx2l3x9Vau9dau8p3vxrYBKS393VFRPzlUOGaosL1ZB1clGnGyN5EhenDqXQN157W\nh9vOzcFj4daXVrN0R6nTkYLKuxv20eqx5KTGEB3mdjqOiAQ4vy7OZIzJBkYBnx7h8ERjzDpjzH+N\nMUOP8Ro3GWNWGGNWFBcX+zOeiAShyrpmiqsbiQx1k67FmU5KY0srs1cXAjBTw4Sli/nhlByuPa0P\nTS0evv3MCjYUVTodKWg8s3gXANdPysYY42wYEQl4fitcjTExwKvAbdbaLy4vtwrIstaOAB4EXj/a\n61hrH7PW5lprc1NSUvwVT0SCVF6xd2GmAakxuFz6YHUy5m88QFltE4N7xTIiI87pOCKfY4zhtzOG\nMn14GtWNLXzjyeXsLq11OlbAW7+nkpW7y+kREcJXR2mgnYh0PL8UrsaYULxF6/PW2tlfPG6trbLW\n1vjuvwOEGmOS/XFtEZFjObjapVbBPXmzVniHCc8cm6muinRJbpfh3pmnMmlAEiU1jVz7r2Xa57WD\nPe3rtl6Zm6npAyLSKfyxqrAB/gVsstbee5RzevnOwxgzznddTUQRkQ53aH6rCteTsqe8joXbigkL\ncamrIl1aeIibR6/NZXh6HPlldVyn4rXDlNQ08ubaIoyB6yZkOx1HRIKEPzquk4BrgXPabHdzgTHm\nZmPMzb5zLgc+M8asBR4Avma1Y7iIdILDW+GocD0Zr6zYg7Vw/tBexEeFOR1H5JhiwkN4+oax9E+J\nZvO+aq745xIKyuqcjhVwZq/aQ1OrhymDU8lKinI6jogEiXaP7bDWfgIcc+yYtfYh4KH2XktE5EQd\nKlx7ag/XE9Xqsfxn5R7AO0xYpDtIignn5e9M4BtPLeOzwiou+8dinv3meAb10r8B/vLO+n0AXDY6\nw+EkIhJM/LqqsIhIV1LT2EJhRT1hbheZCVpR+ER9kldCYUU9mYmRTOiX5HQckeOWFBPOi98+jfF9\nEzlQ3ciVjy5h5e5yp2MFhL2V9awpqCAi1MWZg7SIpoh0HhWuIhKwtvu6rf1Soglx65+7EzVreT7g\n3QJHKzJLdxMbEcozN47j3CE9qaxv5ponPmXBVm2z117vfubttp41MFWLMolIp9InOREJWHlamOmk\nldY0Mm/jflwGLh+jYcLSPUWEuvnnNaO5bHQG9c2tfPOZ5by1rsjpWN3af33DhKcN7+VwEhEJNipc\nRSRgbTu0MJPmtp2o11YX0txqOWtQKr3iIpyOI3LSQtwu/nL5CL55el+aWy0/eHE1z3+62+lY3dKB\n6gaW7y4jzO3inMGpTscRkSCjwlVEAlbegWpAHdeT8eqqQsC7R6NId+dyGf5v+hB+MnUg1sKdr33G\nwx/moQ0OTszcDfuxFs7ISSY2ItTpOCISZFS4ikjAOtRx7anC9UQUlNWxaW8VMeEhnD1Yi69IYDDG\ncMs5Odx9yTCMgb+8t4V/fbLT6VjdysH5recP0zBhEel8KlxFJCA1NLdSUFaH22XITop2Ok63Mm/j\nfgDOHJhCeIjb4TQi/nXNaX24f+ZIAP46dwuFFfUOJ+oeymubWLKjlBCX4bxTejodR0SCkApXEQlI\nO4pr8VjokxRFWIj+qTsRBwtXfTiVQHXxyHSmD0+jodnDH9/e5HScbmHexv20eiwT+icRHxXmdBwR\nCUL6NCciAWmbb35rjua3npCKuiaW7SrD7TKcPUiLr0jg+uX0IUSGunl7/V4W5ZU4HadLs9by1vq9\nAEwbluZwGhEJVipcRSQg5WlF4ZPy4ZYDtHos4/smEhelxVckcKXHR3LLOQMA+M2cDTS3ehxO1DWt\nKahg5mNLWbC1GLfLMHWoRmKIiDNUuIpIQMrTwkwnRcOEJZh864y+ZCdFkXeghmcW73I6Tpeyu7SW\n77+wikseXsSynWUkRIXy1ytGkBwT7nQ0EQlSIU4HEBHpCAdXFO6fosL1eDW2tPLxlmJAhasEh/AQ\nN7+5aCg3PL2c++dvY8apvUntEdz7FpfVNvHgB9t4bulumlst4SEubjy9L989qz89tAWOiDhIhauI\nBJymFg+7SmoxRoXriVi8vZTaplaGpPUgIyHK6TgineLswamcOySV+ZsO8MhH2/ntjKFOR3JEQ3Mr\nTy7ayT8+3E51YwvGwOVjMvjReQPpHR/pdDwRERWuIhJ4dpfW0uKxZCVGERmm7VyOl4YJS7D66qgM\n5m86wN7K4Nsax+OxzF5dyN/mbmFvZQPg3QrrjmmDGZLWw+F0IiKHqXAVkYBzeGEmdVuPl8djme8r\nXKeqcJWstWsHAAAgAElEQVQg09jSCkBkaHD9oqu+qZUfvrSaub6/+6ek9eCXFwzh9Jxkh5OJiHyZ\nClcRCTgH57cOUOF63NYVVnKgupHecREM7a0uiwSX+mZf4RpEIzQOVDfw7WdWsHZPJT0iQvjtjKFc\nMjIdl8s4HU1E5IhUuIpIwFHheuLmbdwHeIcJG6MPrhJcGpq9W+FEBEnHddv+aq5/ajmFFfVkJkby\n1PVjGaCtw0Ski1PhKiIB5/BWOPogdrwOz2/t5XASkc7X4Ou4BkPhuiivhJufW0l1QwsjM+N54hu5\n2uJGRLoFFa4iElBaPZbtxQe3wol2OE33sLu0lq37a4iNCGF8v0Sn44h0uvomb+EaGuDDZF9ZUcAv\nZq+nxWOZNqwX980cGRTFuogEBpfTAURE/GlfVQNNLR6SY8KJ1Z6Dx+Vgt/XsQamEuvVjQYJPTk/v\ntIJ3N+zDWutwGv+z1vK3uVv46X/W0eKx3DS5Hw9/fbSKVhHpVvzyCcUYs8sYs94Ys8YYs+IIx40x\n5gFjTJ4xZp0xZrQ/risi8kU9IrwDSWoamwPyA2hHmKttcKSzNFTBpregvsLpJJ8zbVgaPXuEs3V/\nDR9vLXY6jl81trRy26w1PPhBHi4Dd18yjF9eMESLMIlIt+PPocJnW2tLjnJsGpDju40H/uH7KiLi\nV7ERocRHhVJR10xJTRMpsZq7dSxltU2s2FVGqNtw1qAUp+NIoKkthY2vwQd3Q3354ceHXAQzn3Mu\n1xeEhbi4fmJf/vzuZp5YuJOzBqU6HckvdpbUctusNawtqCA6zM1DV4/m7AB5byISfDprjuvFwL+t\nt/2x1BgTb4xJs9bu7aTri0gQyUyIoqKukoLyOhWu/8MHmw/gsTCpX5KGVnclTXVQvhPKdnhvpdsP\n32+shsR+kDzQe0vxfU3sDyFhzmW21pt51yew+EEo2Xr0c4df0Xm5jtPXx2Xx4Afb+CSvhA1FlQzt\nHed0pJNmreWFZfnc/dYm6ptb6R0XwRPfGMsp2upKRLoxfxWuFphvjGkFHrXWPvaF4+lAQZvv9/ge\n+1Lhaoy5CbgJICsry0/xRCSYZCREsr6wkoKyOkZnJTgdp0s7uA3OVA0TdlZLE2z9L6x5Efauheqi\nY5+/d4331pZxQ0I2pAyC5BxfYTsI4rMgPAZCo8CfWx21tsD+9ZC/FDa+AflLjn3+oAvg7F9Cr+H+\ny+BHcVGhzBybyVOLdvGvhTu5d+ZIpyOdlOLqRu54dR3vbz4AwCUje/O7i4cRF6lfTIlI9+avwvV0\na22hMSYVmGeM2WytXXAyL+Qreh8DyM3N1QQ1ETlhmYlRAOwpr3c4SdfW0NzKgq3eGR7nqnB1RvEW\nWPVvWPsS1LWZbeMK8Rahif28ndTEfpDUz/s1vAeU5nk7miVbodj3tWI3lG333rYc6WIGwqK/cIs5\n8v3Qo5zX2gR7lnu7qrsWHvu9ZYyFM34C/c6C0Ai//ZF1pBsn9eWZxbt4Y20R/VNjuPnM/ri70VzQ\nuRv2ccfs9ZTVNtEjIoQ/fHU4F53a2+lYIiJ+4ZfC1Vpb6Pt6wBjzGjAOaFu4FgKZbb7P8D0mIuJ3\nGQmRAOwpr3M4Sde2KK+E+uZWhqfHkRYX6XSc4NFUCxteg1XPQsHSw4+nngKjroWBX4H4PuA+xo/o\n6GTIOu3zjzU3eIvWtsVsyRaoKvIOPW6ph6Ya760jJGTDad+DnPO8BXY3lJkYxa1Tcrh//jb+8t4W\nPtx8gL9deSp9krr21lo1jS38/s2NzFrhHdw2aUASf73iVP29FpGA0u7C1RgTDbistdW++1OBu75w\n2hzgFmPMS3gXZarU/FYR6SiZCeq4Ho95Wk24czU3wNz/83ZXm6q9j4XFwLDLYPR1kD6mfUN5QyOg\n51Dv7Ug8rd6i+dCt5vD95iM83lDl7aoWbz7K9aJh1DXeQjX7dAgNjCLptnMHMiorgZ++spYVu8uZ\net8CvnNmf757Zn8iw7re9jErd5dx+6y15JfVERbi4ufnD+aGidlaNVhEAo4/Oq49gdeM94dtCPCC\ntfZdY8zNANbafwLvABcAeUAdcIMfrisickSZid4P0AVl6rgejcdjmb/JOwdOhWsn2fYeLH/cez9z\nvLdYPeUS7/zTzuByQ0QP7+1oakth+/uwbS7kvQ/1ZYePucO9BWrOeZAzFZL6d3xmh5w5MIW5t0/m\nd29u5LXVhTzw/jb+s6KAX04fwvThaRh/zhU+SZX1zTy+YAePfJSHx8KQtB7cP3Mkg3rFOh1NRKRD\ntLtwtdbuAE49wuP/bHPfAt9v77VERI5Hery341pYUU+rx3arOWqdZXVBBSU1jWQkRDJYH3Q7R5Fv\nMaVJP4TzvjgwySEeDxSthrx53mK1cBXe9RZ94vt4i9Sc8yD7DAiLcixqZ4uPCuO+mSP5+vgsfjtn\nAxuKqrjlhdU823c3v50xlCFpnbtCb3Orh7UFFSzYVsLCbcWsLajAY71N+pvP7M/t5+UQHtL1OsIi\nIv7SWdvhiIh0msgwN8kx4ZTUNHKgukHzvI6g7TDhrtA9Cgr71nm/po9xNkddGWz/4HBXte2iUO4w\n6DOpTVd1gH9XIu6GxmYnMueW05m1vIC/vLeZT3eWMf2BhVw3IZvbzxvYYav1WmvZXVrHwm3FLNhW\nwpLtpdQ0thw6HuIyjM1O4EfnDWR8v6QOySAi0pWocBWRgJSREElJTSMFZfUqXI/g4DY4GibcSaz1\nbnMD0GtE517b44F9a2HbPO+tcAVYz+HjcVmQc663UM0+o/OGLncjbpfh6+OzmD48jXvnbeHZpbt5\nevEu3lxbxM+nDeby0Rl+mVNaXtvE4u2lfJLn7ap+cZ5+v5RoJuekcEZOMuP7JRETro9xIhI89C+e\niASkzMQo1hRUsKe8jnF9E52O06XsKK5he3EtcZGhjMvWn02nqN4HtcUQHuddfbej1Zf7uqrzvcOA\na4sPH3OF+uaqToUB53n3fQ3yrurxiosK5XcXD2Pm2Cx+M+czlu8q52f/WceLy/K5a8YwhmfEndDr\nNTS3snxXGZ/klbAor4QNRVXYtiO1o0KZNCCZyTnJnJ6TQnq8fgknIsFLhauIBKTMhIMLNGll4S86\nOEz4nMGphLhdDqcJEgeHCaeN6Jgi0VrvNQ52Vfcs+3xXtUeGt6s64DzodyaEa15ze5zSuwcvf2cC\nb6wp4g/vbGJ1fgUzHv6Eq8Zl8dOpg0iIDjvi82obW1i7p4JVu8tZvL2UFbvLaWo5/N8pzO0iNzuB\nSQOSOSMnmaG94zRHX0TER4WriASkDN+WOAXay/VLtA2OAw4OE0770lqGJ6+hErZ/6C1U8+ZDzb7D\nx1whh+eqDjgPUoeoq+pnxhguGZXOlCGpPPD+Np5atIsXPs3nnfV7+elXBjEzN5PdZXWszq9gVX45\nq/Mr2LKvCo9t+xowLL0HkwYkc/qAZHL7JHbJLXdERLoCFa4iEpAObomzR4Xr55TUNLIyv5wwt4vJ\nA1OcjhM8/DG/1VrYv8G3qNJ8yF8KtvXw8di0w4Vqv7OOve2N+E1sRCh3Tj+FK3Mz+c2cDSzeXsqd\nr33G797c+LluKngXVBrauwejsuIZ3zeJCf2TSDxKd1ZERD5PhauIBKRDHVcNFf6c9zftx1qYOEAL\nu3Sq+nLv1x69T+x5DVWw4yPfdjXzobro8DHj9nZVB/gWVuo5VF1VB+X0jOX5b43nnfX7uPvtjeyt\nbCAlNpzRWfGMzkpgVFYCw9Pj1FEVETlJ+tQiIgGpd3wExsC+qgZaWj2ay4l3e40XPs0HYNqwXg6n\nCTIh4d6vrY3HPs9aOLCpTVd1CXgOb4FCTE9vRzXH11WNjO+oxHISjDFMH5HG1KE9Ka9rIiUmXNtN\niYj4iQpXEQlI4SFuesZGsK+qgb2VDWQmRjkdyXGf7ixj7Z5KEqPDuHhkutNxgktIhPdryxEK18Zq\n2PHx4a5q1Z7Dx4wLsib4uqrneYcaqxDq8kLdLlJjI5yOISISUFS4ikjAykyMZF9VAwXldSpcgccW\n7ADgugl9iAjVcMVOdbDj2lzv7aoWb/EVqnNh9xLwNB8+Nzr1cKHa/2yITHAms4iISBeiwlVEAlZm\nQhTLd5Wzp6we+judxlnb9lfzweYDhIe4uG5CttNxgo/Ht4jSG9+H+b+DyvzDx4wLMsZ556nmnAu9\nTgWXhraLiIi0pcJVRAJWxsG9XLWyMI8v9HZbr8jN0CqmncFaKNnm66rOgx0feh9vafAWrVHJbbqq\n50BUorN5RUREujgVriISsDJ8w4P3lAf3ysIHqhp4fXURxsC3Tu/ndJzA1VQHuxZ6h/9umwcVu798\nTkQ8XDsb0kapqyoiInICVLiKSMA61HEtC+6O61OLd9HU6mHasF5kJ0c7HSewlG73Fqnb5sKuTz6/\nanBk4uGu6s6PYfVzMOmHkD7GubwiIiLdlApXEQlYmQnquNY0tvD8Um/n79uT1W1tt+Z6b4G6bZ53\nGHDZjs8f7z3aW6jmTIXeo8DlWwSrNM/7taWhc/OKiIgECBWuIhKw0uIicLsM+6sbaGxpJTwk+FbS\nnbW8gKqGFsZmJzA6S6vTnpSyHd5tarbN9Q4Fblt8RsTDgCneQrX/FIhJOfJrxGV6vxat7vi8IiIi\nAUiFq4gErBC3i7S4CPaU11NYXk+/lBinI3Wq5lYPT36yE4CbJgf5ssonorkBdi863FU92C09KG3k\n4a5q+pjDXdVjGfgVwHj3a22sgfDg+n9RRESkvVS4ikhAy0yIYk95PXuCsHB9Z/1eCivq6ZcSzZTB\nqU7H6R6shYfHQkWb7Woi4rwr/x7sqsb2PPHXjUmFjLGwZxlsfx9Oudh/mUVERIKAClcRCWjBuiWO\ntZbHFnjnX377jH64XMbhRN2EMZA10Vus5kyFAed5C063H35cDr7AW7hufluFq4iIyAlS4SoiAS0z\nSLfEWby9lA1FVSTHhPHVUelOx+leLn7YP4XqFw2aDvN/C1vfg9ZmcIf6/xrid61VVbSUlNJaVkpL\naRktpSW0lpbRUlaKp6aWHud/hdgpU5yOKSIS8Nr9k9kYMwiY1eahfsCvrbX3tznnLOANYKfvodnW\n2rvae20Rkf8lWLfEedTXbf3GhGwiQoNvUap26YiiFSBlICTlQOk2yF8CfSd3zHXkpFmPh6YdO6hb\nuYq6lSuoX7mK5sLCYz6n6s03iZkyhV6/+j9Ce/XqpKQiIsGn3T+drbVbgJEAxhg3UAi8doRTF1pr\nL2zv9URETsTBjmtBEHVcN+2tYsHWYiJD3VxzWh+n40hbgy+ARX+Hze+ocO0CbFMTDRs3UrdyJXUr\nV1G/ahWtFRWfO8dERBCSmkpIYiLu5CRCEpNwJyUSkpSMp6aG0scfp+b999mxZAkpt91GwtVfx7j1\nyyIREX/z96+VpwDbrbW7/fy6IiIn5WDHtTCI5rg+vtDbbZ05NpOE6DCH08jnDJruLVy3vA3n3+Od\nUyudqnHbNirfeYf6FSupX7cO29j4ueMhqalE5Y4hcvQYosaMJnzgwGMWonGXXMz+P/yB6nnz2f/H\nP1L55puk3fU7IoYM6ei3IiISVPxduH4NePEoxyYaY9bh7cj+xFq74UgnGWNuAm4CyMrK8nM8EQk2\nPWMjCHO7KKlpoq6phaiwwJ7av7eynjlrinAZ+ObpfZ2OI1+UkQvRKd5Vi/dvgF7DnE4UdBo2b6b0\nH/889H1Y//5EjR7tLVbHjCE0PR1zAr9QCO3Vi4wHH6T6/ffZd9fvaVi/np2XX0HiN75Byi3fxxUV\n1RFvQ0Qk6Lj89ULGmDBgBvDKEQ6vArKstSOAB4HXj/Y61trHrLW51trclJSjbOQuInKcXC5D+qGu\na+APF3560S5aPJZpw9MODZOWLsTlhoHne+9vecfZLEEqatw4Em+8kYyHHyJnyWL6v/0Wab+/i7iL\nLyYsI+OEita2YqdMod/bb5Nw7bXg8VD25JPsuGgGNQsW+PkdiIgEJ78VrsA0YJW1dv8XD1hrq6y1\nNb777wChxphkP15bROSogmVLnOqGZl741Lv/6Hcm93M4jRzV4Oner5vfdjZHkArt2ZOeP/spsVOm\nEJKQ4NfXdsdE0+vOX5I96yXCBw+mubCQgpu+Q/GDD2Gt9eu1RESCjT8L16s4yjBhY0wv4/sVpjFm\nnO+6pX68tojIUWUk+BZoKgvsjuuLy/KpbmxhfN9ERmTEOx1HjqbfWRAaBXvXQOWxV6yV7ilyxAj6\nvvIyKT/+EbhclDz8MPvv/gPW43E6mohIt+WXwtUYEw2cB8xu89jNxpibfd9eDnxmjFkLPAB8zepX\njyLSSQ52XPcEcMe1obmVpxbtAuA7Z6rb2qWFRkL/c7z3F91/7HOl2zKhoSR/+9uk338fJjSU8uef\np+jnd2Cbm52OJiLSLfmlcLXW1lprk6y1lW0e+6e19p+++w9Za4daa0+11p5mrV3sj+uKiByPQ1vi\nBHDH9b75W9lb2cDgXrGcNTDV6Tjyv5zxY3CFwrLHYOMcp9NIB+oxdSqZjz2KiYqi6s032XPLD/A0\nNDgdS0Sk2/HnUGERkS7pUMe1IjA7rmsLKnh8wQ5cBu65dDgul7ZY6fLSR8PU33vvv3ELlO10No90\nqOgJE+jz9FO44+Op+fhj8r/1LVqrqpyOJSLSrahwFZGAlxnAc1ybWjz8/NV1eCzcOKkvo7L8u9iM\ndKDxN8PgC6GxEl65Hloa/+dTpPuKHDGCPs8/R0jPntSvWMnub1xPS0mJ07FERLoNFa4iEvCSY8KI\nDHVTWd9MWW2T03H86pGP8ti8r5o+SVH8eOogp+PIiTAGLn4I4rO8CzXN/ZXTiaSDhffvT/YLzxPW\npw+NmzZR8P3va7VhEZHjpMJVRAKeMYaRmd5VdpdsD5wFzTfvq+KhD/IA+PNlI4gMczucSE5YZAJc\n8bRvvuujsOGo25xLgAhNT6fPC8/jTkmmYe06qufPdzqSiEi3oMJVRILCGQO9W0cv3FbscBL/aGn1\n8LP/rKPFY7nmtCxO65fkdCQ5WeljYOrd3vtzfgBlO5zNIx0uJCmJ5Ju9Gy8U//3v2NZWhxOJiHR9\nKlxFJCicMSAFgIXbSgJiaN4Tn+xk3Z5KesdF8PPzBzsdR9pr/HdgyEXQWKX5rkEi4YorCO3dm6a8\n7VS99ZbTcUREujwVriISFIb27kFCVCiFFfXsLKl1Ok67bC+u4d55WwH446XDiY0IdTiRtJsxMOMh\niO8De9fCe3c6nUg6mAkLI/mWWwAofuhhbFNgzb8XEfE3Fa4iEhRcLsPpOYe7rt2Vx2O549V1NLV4\nuGx0BmcN0p6tASMy3jvf1R0Gyx+HDa85nUg6WNyMiwjr14/mggIqZs92Oo6ISJemwlVEgsYZA7r/\nPNdnl+5m+a5yUmLD+dWFQ5yOI/6WPhqm/sF7/40fQOl2Z/NIhzIhIaTc+gMASh75B56GBocTiYh0\nXSpcRSRonJ7jLVyXbC+ludXjcJoTV1BWx5/f3QzA7y8eRnxUmMOJpEOM+zYMmQFN1d75rs0qZgJZ\n7NSphJ8yhJYDByh//gWn44iIdFkqXEUkaPSOj2RAagy1Ta2szq9wOs4Jsdbyi9nrqWtqZfrwNM4f\n1svpSNJRDu7vmpAN+9bBXM13DWTG5Tq0wnD1vHkOpxER6bpUuIpIUDm9mw4XfmXFHj7JKyEhKpTf\nzhjqdBzpaBFxbea7PgGLHnA6kXSg0LQ0AGxzs8NJRES6LhWuIhJUJh/az7X7LNC0v6qB37+9EYDf\nXDSUlNhwhxNJp+g9Ci68z3t/3q/goz9DAGzlJEdivF/031dE5KhUuIpIUBnfN4lQt2Hdngoq6rr+\n9hPWWu58bT3VDS1MGZzKxSN7Ox1JOtOoa+CSf4BxwUd/hPd/p+ImELm8hatF/21FRI4mxOkAIiKd\nKTo8hDF9Eli6o4zF20u5YHia05GOac7aIuZvOkBseAh/+OpwjDFOR5LONvLrEBIBs78Nn9wHzfVw\n/p+8c2Gl22kpKaHyrbdoOVBMWFYmYdnZeGpqvAc9KlxFRI5GhauIBJ0zclJYuqOMhdtKunThum5P\nBb+ZswGAO6cPoVdchMOJxDHDLoWQcO8qw5/+01u8XngfuNxOJ5PjYFtaqFmwkIrZr1Lz0cfQ0nLE\n8xo3b2bPD24lLLsPYdnZRJ9xBqGp2qtZRARUuIpIEDojJ5m/vLeFBVuLsdZ2yS7mB5v38/3nV1Pf\n3Mq5Q1KZOTbT6UjitMHT4aoX4aWrYdUz0NIAFz8Cbv0o76oad+6kcvZsKl5/ndZi37x6t5uYc84h\ncvgwmvILaNy2jYbPPjv0nLYrC5uICBKvvYakb30Ld1xcZ8cXEelS9NNORILO0N5xJESFUlhRz67S\nOvomRzsd6XNe+DSf/3t9PR4Ll45O50+XjuiSxbU4YMC5cPV/4IWZsG6Wt/N62b8gRHv6dhWeujqq\n3n2PitmvUr9i5aHHw7Kzib/8MnrMmPG5LmrFa6+z9xe/IDQ9nfT776dp926adu+ift06aj9eQOnj\nT1A+62WSvvUtEq+9BldkpBNvS0TEcSpcRSTouF2GiQOSeXvdXhZuK+4yhau1lnvnbeXBD/IAuPWc\nAdx+3kAVrfJ5fc+A616H5y6DTXPg5WvhimcgVEPJndRaU0vxvfdS+frreOrqADBRUfQ4/3ziL7+M\nyFGjvvR32VpL2bP/BiD5e98jcvgwIocPO3S8ft06Dtx3H3VLllJ8772UP/ssyd/7LvGXX44JDe28\nNyci0gVoVWERCUqTc7rWtjhNLR5+/MpaHvwgD7fLcM+lw/nR1EEqWuXIMsfBN+ZAZAJsfRdenAlN\ntU6nClqNO3aw68orKX/hBTx1dUSOHEna3b8nZ8ECev/xD0SNHn3Ev8v1K1fSuHET7sREelw4/UvH\nI0eMoM9TT5H15L+IGDaMluJi9v3uLrZPv5DKt97Gejyd8fZERLqE4y5cjTFPGmMOGGM+a/NYojFm\nnjFmm+9rwlGee74xZosxJs8Yc4c/gouItMfpOSkALNleSl3TkRdK6SzVDc1885nlzF5VSGSomyeu\ny+WqcVmOZpJuoPcouP4diE6FHR/Bc5dDQ5XTqYJO1bx57LriSpp27CBsQH/6vv4a2S+9SPzll+OO\nOfpoDuvxUPL44wAkfG0mrvCj788cPXEi2a+8TPrf/05Y37405+dT9JOfsPPSy6j5+GOstkgSkSBg\njvcfO2PMZKAG+Le1dpjvsf8HlFlr/+QrSBOstT//wvPcwFbgPGAPsBy4ylq78X9dMzc3165YseJE\n3o+IyHGb8reP2F5cS3iIi/H9kpick8xZg1LonxLTaZ3O/VUNXP/UcjbtrSI5Jownrx/LiIz4Trm2\nBIiSbfDMDKgugvQxcM2r3k6sdCjb2krxAw9S+uijAMROO5/ed9+NK/p/Tz2wzc0U3XknVXPexERE\n0H/ue8e9erBtaaHy9dcpfuhhWvbtAyBq3DhSf/rTzw0zFhHpDowxK621ucd17on8ls4Ykw281aZw\n3QKcZa3da4xJAz6y1g76wnMmAL+11n7F9/0vAKy19/yv66lwFZGO9MHm/dw7byufFX6+S5UeH8nk\ngcmcOTCFiQOS6RHRMXPJtu6v5vonl1FU2UC/5GievmEcWUlRHXItCXBlO+HfM6AiH3oNh2tfh+hk\np1MFHNvaSsPGTdQtW0b13LnUr10LbjepP/4xiTdcf1y/8PI0NFB42+3UfPQRJiqKzIceJHrixBPO\n4mlspPyFFyn95z9prawEoMf06aTcfjthGekn/HoiIk7ozMK1wlob77tvgPKD37d5zuXA+dbab/m+\nvxYYb6295SjXuAm4CSArK2vM7t27jzufiMjJKK5u5JO8Yj7eUszCbSWU1jYdOuZ2GUZnxXPmwBQm\nD0xhWO84XK6T78bWNLawcnc5n+4o5bmlu6lqaGFMnwSeuC6XhGitDCvtULnH23kt2w4pg+G6NyC2\nl9OpAsa+u+6i8s238FRXH3rMnZhI+r33En3a+ON6jdaqKgq+9z3qV6zEHRdH5uOPETliRLtytVZW\nUvLYY5Q/+xy2qQkTGkrCNdeQ/J2bcMdr9IaIdG2OFK6+78uttQlfeM4JFa5tqeMqIp3N47FsKKri\n460HWLC1hJX55bR6Dv87mRgdxhk5yYzOSqBvcjR9k6PpHR+J+yjFbGV9Myt2lfHpTu/ts8LKz73e\n+UN7cf/XRhIR6u7w9yZBoHo//PtiKN4ECX3h8n95hw9Lu9iWFraOG4+nrg53fDwxU84hevx4YiZP\nPu7isKWkhPxvfZvGzZsJ6dmTrH89QfiAAX7L2FxYyIG//52qOW8C4OrRg9hzzyV6wgSiTxtPSEqK\n364lIuIvGiosIuInVQ3NLM4r5eOtxSzYWkxhRf2Xzglzu+iTFHWokM1IjGJncS2f7ixl494q2v4z\n63YZhqXHcVrfRCb0T2JyTkq7OrgiX1JbCs9eAvvWgXHB+O/C2b+E8Bink3Vr5S++yL7f3YUrLo5+\nc94gtGfP435u47ZtFNxyC8278wnr8//bu/Mgq6o7gePfXy+0bCKL0kCzGVFAEjdUUIe4SwxRYzKO\nW0x0MpqZ0ZiUKeNWNcmMzmTKqZTR6BgXEjUg0UTUaDQa48RERASDgAGMKIqsDYStG3o988d7Sqsg\nS7/u917z/VR19b3nnPfO7/kr2v71PffcwQyaeC/lA9pmOe/m119n1c3/Q+306R9qrxg2jC5jx9B1\n7Fi6HHnUJ24cJUntpT0L15uBNS02Z+qVUrr6I68pI7M500nAUjKbM52fUnp9R/NZuEoqJCklFlXX\n8Me/VvPGyk28vXoTb6+uYeWGuu2+prw0OKRqH47evxdHD+3NEYN70rXCR2irjdXXwP/9F7x0O6Rm\n6DEIJvwQhp2S78iKVkqJJZddRs0Lf6Trsccy8J67d3hPa2psZM3En7L6tttIDQ1UjBzBoLvvpqx3\n7+tnhgUAABA+SURBVDaPtW7BAmqmvUTNSy9RO2sWaXOLP7qVltLl8MOp/P73qdh/aJvGIkmfpE0K\n14h4EDge6AOsBP4NeBR4CBgEvAOck1JaGxH9gXtSSqdnX3s6cAtQCkxMKd20M3NauEoqBjV1jby9\nuobFa2p4u7qGd9bWMmCfzhy9fy8OG9iTzp1cBqw8WTYbHr8ic/UVYNSXYfwPoJvLRndHw6pVvH3G\nmTStW0ffG26g14UXbHds3aJFLLv2OrbMyfy33+ecc9jv6qvzcqWzub6ezbNnUzt9OjXTXmLz3LnQ\n1ETZvvsy+IH76TRkSLvHJEnQhldc25uFqyRJrdTUCNPvgOf/Exo3w177wGk3waEXQDs99qkj2fDM\nMyz95pVEp050Pe44Og0aRPmggXQaOIhOgwdRVlnJ3x54gOof3Uqqr6esspJ+N95It+OOzXfoH2ja\nsIH3Lr+C2hkzKKuszBSvAwfmOyxJeyALV0mS9GFr34Ynvg1vPZ85HzoOJtwCvT+V37iK0PLvfY91\nU36xw3E9vnQ2fa+5htLu3dshql3TXFPDu5dexuZZsyjr34/BEyd65VVSu7NwlSRJH5cSzHkInr4G\nNq+Fsr3gs9+FY66A0rZ5XnFHlFKibuFC6hcvpv7dJdS/+w4N7y6hfskSGpcvp6xfP/p9/3t0Gzcu\n36F+oqZNNSz5+tfZPHs2pT16UHX7j+kyeqd+f5SknLBwlSRJ21ezBn57HcyZkjnv+2k440c+OicH\nmuvqiPJyoqQk36HslKZNNSy76io2/eEPUF5O/xv/gx5nnpnvsCTtIXalcC2On6qSJCl3uvaGs38C\nFz4C+wyGlXPhnpPh6WuhblO+oytqJRUVRVO0ApR260rVHbfT8ytfgYYGln33GqpvvZVCvrAhac9U\nPD9ZJUlSbh1wEvzLS3DMN4HIbOJ0xxh445l8R6Z2FKWlVF5/HX1vuAFKSlh9x/+y7Krv0Fy3/Ud9\nSVJ7c6mwJEmC5a9lHp2z/LXM+fAJMPZyGDTG3Yf3IJteeIGl3/o2zbW1lOy9NyUVFVBSkvkK+NST\nT1LSuXO+w5TUQbhUWJIk7Zp+h8DXfw+n3gjlXWDBE/DT8fCTcfDnSdCwJS9hrahZwZrNa/Iy956o\n27hxDH5wMuVVVTRv2EBjdTWNK1fSuHw5jcuW5zs8SXswr7hKkqQP27AcZt4LM38KtaszbV36wOiL\nYfQlsHf/dgmjobmBMZPGUN9cz+C9BzPp9En0qOjRLnPv6VJTE42r1wAJmpshJVJzorx/v6K6h1dS\nYXNXYUmS1HoNW2Der+DlO2HFnExbSRmMPBOO/gZUHdmmy4hTSoyZPIbaxtoP2k4YeAK3nnhrm80p\nSWo/LhWWJEmtV74XHHYBXPYCXPw0jDwr8yzYeb+Ce0+Bu0+A16ZAY9ts4hMRTD9/Op/f//MftD2/\n5HnWbVnXJvNJkgqXV1wlSdLOW/8evHIvzPoZbF6baeu6X2YJ8eiLoXtlm0y7uXEzFz11EQvWLuCo\nyqO485Q7KS8pb5O5JEntw6XCkiSpbTVshrkPw8s/gZXzMm0l5XDwF7PLiI/I+ZQralZw7hPnsmbL\nGs4bfh7XHX1dzueQJLUflwpLkqS2Vd4ZDr8IvvEn+NqTMOILkJpg7kNwz4lw90kw52ForM/ZlJVd\nK7nlhFsoLynnwQUP8vAbD+fsvSVJhc0rrpIkKTf+9g68cg+8eh9sWZ9p69YXDj4bRn0JqkbnZDOn\nx958jBtevIGyKOPuU+9mdOVO/bFeklRgXCosSZLyp74G5jyUWUZcPX9re49BcPBZMOps6Hdoq4rY\nm1+5mfv/cj89K3oyZcIU+ndrn0f0SJJyx8JVkiTlX0qwdBbMewRenwobl23t67X/1iuxfUfu8ls3\nNjdy+XOX8+KyF+lc1pmeFT3p1qkb3cq70b1T9w+Oe1T04JB9D2F039F0Ke+Sww8nSWotC1dJklRY\nmpthyfRMEfuXR6GmemvfvsOzRezZ0GfYTr/lhvoNXPbMZcxbM2+HY8tLyjl8v8MZ238sxw44lgN7\nHkhJuNWHJOWThaskSSpczU2w+E+Z58HOfxw2/21rX+WntxaxPYfs8K1SSqyvW8/Gho1sqt/EpoZN\nbKzfyMb6jWxq2ER1bTUzVsxg3up5JLb+ztN7r96M7T+WY/ofw9j+Y+nTuU8bfFBJ0iexcJUkScWh\nqQHe+kOmiF3wBNRt2No34IjM43WGHAd9R0Hp7j+3dd2WdUxfPp1py6bx4rIXWVW76kP9w3sNZ2y/\nsYzqM4oRvUZQ1b2KyMFGUpKk7bNwlSRJxaexDt58LlPELnwKGmq29pV1hv6HZXYmHngUVB0J3St3\na5qUEovWLWLasmlMWzaNmStnUtdU96Ex3cu7c1CvgxjRewQjeo1geK/hDO0xlLKSstZ8QklSCxau\nkiSpuNXXwl+fgTeehiUzYO2ij4/pMTBTwFYdmSlmKz8NZRW7PNWWxi28uupVZq6Yyfy185m/Zj5r\ntqz52LiK0goO7HlgppDtPZwRvUYwrOcwKkp3fU5JUhsVrhExEZgArEopjcq23Qx8AagHFgEXp5TW\nbeO1i4GNQBPQuLPBWbhKkiQAatZkdih+bwa89wq8NwvqN354TGkFHPQ5OOKrMPR4KNn9zZeqa6s/\nKGIXrF3A/LXzWbpp6cfGlUYpPxj3A8YPGb/bc0nSnqqtCtdxwCbg/haF66nA71NKjRHx3wAppe9u\n47WLgdEppdU79xEyLFwlSdI2NTdB9cJsEZv9ql6wtX+fQXDYRXDYBbB3bp7xur5uPQvXLswUtNmi\ndvGGxUw+fTIH9zk4J3NI0p6kzZYKR8QQ4In3C9eP9H0R+HJK6YJt9C3GwlWSJLWl9Uth9iR49QFY\n/26mLUpg2Kkw+hI48LScT1nbUEun0k7e+ypJu2FXCtdcPsDsEuCp7fQl4HcRMSsiLv2kN4mISyNi\nZkTMrK6u/qShkiRJW/UYAJ+9Gq58Db4yFUaeBVGauU928jnwxm9zPmWX8i4WrZLUDnLykzYirgca\ngUnbGXJcSmlpROwHPBsRC1JKL2xrYErpLuAuyFxxzUV8kiRpD1JSAp86MfO1ZhHcdgSQdnsXYklS\n/rX6imtEfI3Mpk0XpO2sO04pLc1+XwVMBY5q7bySJEk7NP/XQIKh46DfIfmORpK0m1pVuEbEeOBq\n4IyUUu12xnSNiO7vHwOnAvNaM68kSdIONdbDy3dmjo/5Zn5jkSS1yk4XrhHxIPAScFBEvBcR/wj8\nGOhOZvnv7Ii4Mzu2f0T8JvvSvsCfIuI1YAbwZErp6Zx+CkmSpI+a+zBsXA77jYQDTs53NJKkVtjp\ne1xTSudto/ne7YxdBpyePX4LcG2OJElqP00NMO22zPExV0BEfuORJLVKLncVliRJyr+mRnjkn6B6\nPuw9AEZ9Od8RSZJaycJVkiR1HM1NMPUyeH0qVOwN5zwAZZ3yHZUkqZUsXCVJUsfQ3ASP/jPM+yV0\n6g4XPgJVR+Q7KklSDli4SpKk4tfcDI9dDnN+AeVd4cJfwsAj8x2VJClHLFwlSVJxa26GX18Br02G\n8i5wwcMwaEy+o5Ik5ZCFqyRJKl7NzfDEt+DPP4eyznD+QzDk2HxHJUnKMQtXSZJUnFKC33wHXr0P\nyvaC86fA0L/Ld1SSpDZg4SpJkopPSvDU1TDzXiitgHMnw/7H5zsqSVIbsXCVJEnF57fXw4y7oLRT\npmg94KR8RyRJakMWrpIkqfj0OSBzpfUffg7DTs53NJKkNlaW7wAkSZJ22ehLYNip0KMq35FIktqB\nV1wlSVJxsmiVpD2GhaskSZIkqaBZuEqSJEmSCpqFqyRJkiSpoFm4SpIkSZIKmoWrJEmSJKmgWbhK\nkiRJkgqahaskSZIkqaBFSinfMWxXRFQD7+Q7jjzoA6zOdxDKKXPa8ZjTjsecdjzmtOMxpx2POe14\ndiWng1NK++7MwIIuXPdUETEzpTQ633Eod8xpx2NOOx5z2vGY047HnHY85rTjaauculRYkiRJklTQ\nLFwlSZIkSQXNwrUw3ZXvAJRz5rTjMacdjznteMxpx2NOOx5z2vG0SU69x1WSJEmSVNC84ipJkiRJ\nKmgWrpIkSZKkgmbhWkAi4uaIWBARcyJiakTs06Lv2oh4MyIWRsRp+YxTOy8i/j4iXo+I5ogY/ZE+\nc1qkImJ8Nm9vRsQ1+Y5Huy4iJkbEqoiY16KtV0Q8GxF/zX7vmc8YtWsiYmBEPB8Rf8n+3L0y225e\ni1RE7BURMyLitWxOv59tN6dFLCJKI+LPEfFE9tx8FrmIWBwRcyNidkTMzLblPK8WroXlWWBUSukz\nwBvAtQARMRI4FzgYGA/cERGleYtSu2IecDbwQstGc1q8snm6HfgcMBI4L5tPFZefkfm319I1wHMp\npWHAc9lzFY9G4KqU0khgDPCv2X+b5rV41QEnppQOAQ4FxkfEGMxpsbsSmN/i3Hx2DCeklA5t8fzW\nnOfVwrWApJSeSSk1Zk+nA1XZ4zOBKSmlupTS28CbwFH5iFG7JqU0P6W0cBtd5rR4HQW8mVJ6K6VU\nD0whk08VkZTSC8DajzSfCdyXPb4POKtdg1KrpJSWp5RezR5vJPOL8QDMa9FKGZuyp+XZr4Q5LVoR\nUQV8HrinRbP57JhynlcL18J1CfBU9ngAsKRF33vZNhUvc1q8zF3H1TeltDx7vALom89gtPsiYghw\nGPAy5rWoZZeVzgZWAc+mlMxpcbsFuBpobtFmPotfAn4XEbMi4tJsW87zWtbaN9CuiYjfAZXb6Lo+\npfRYdsz1ZJY8TWrP2LR7diankopLSilFhM+LK0IR0Q34FfCtlNKGiPigz7wWn5RSE3Bodt+PqREx\n6iP95rRIRMQEYFVKaVZEHL+tMeazaB2XUloaEfsBz0bEgpaducqrhWs7Symd/En9EfE1YAJwUtr6\nkN2lwMAWw6qybSoAO8rpdpjT4mXuOq6VEdEvpbQ8IvqRucKjIhIR5WSK1kkppUeyzea1A0gprYuI\n58ncm25Oi9OxwBkRcTqwF7B3RPwc81n0UkpLs99XRcRUMrdV5TyvLhUuIBExnszyiTNSSrUtuh4H\nzo2IiogYCgwDZuQjRuWMOS1erwDDImJoRHQis8nW43mOSbnxOPDV7PFXAVdMFJHIXFq9F5ifUvph\niy7zWqQiYt/3n7AQEZ2BU4AFmNOilFK6NqVUlVIaQub/nb9PKV2I+SxqEdE1Irq/fwycSmZz0pzn\nNbZe1FO+RcSbQAWwJts0PaX0jWzf9WTue20ks/zpqW2/iwpJRHwRuA3YF1gHzE4pnZbtM6dFKvvX\n4luAUmBiSummPIekXRQRDwLHA32AlcC/AY8CDwGDgHeAc1JKH93ASQUqIo4D/gjMZev9c9eRuc/V\nvBahiPgMmU1dSslcbHkopfTvEdEbc1rUskuFv5NSmmA+i1tE7A9MzZ6WAZNTSje1RV4tXCVJkiRJ\nBc2lwpIkSZKkgmbhKkmSJEkqaBaukiRJkqSCZuEqSZIkSSpoFq6SJEmSpIJm4SpJkiRJKmgWrpIk\nSZKkgvb/Iv6wPJ1zgWAAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x1145f8160>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 110, loss 134.0895538330078\n",
"epoch 120, loss 141.91783142089844\n",
"epoch 130, loss 110.62458801269531\n",
"epoch 140, loss 149.23162841796875\n",
"epoch 150, loss 89.91399383544922\n",
"epoch 160, loss 91.32146453857422\n",
"epoch 170, loss 104.75334167480469\n",
"epoch 180, loss 100.30490112304688\n",
"epoch 190, loss 49.739410400390625\n",
"epoch 200, loss 134.3074493408203\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\noNioSpIkSZIG5U9JBeixKNL/LgAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x112c3fe10>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 210, loss 85.12063598632812\n",
"epoch 220, loss 86.92639923095703\n",
"epoch 230, loss 89.70089721679688\n",
"epoch 240, loss 45.846553802490234\n",
"epoch 250, loss 37.76823425292969\n",
"epoch 260, loss 31.961181640625\n",
"epoch 270, loss 87.22055053710938\n",
"epoch 280, loss 88.57913208007812\n",
"epoch 290, loss 64.62517547607422\n",
"epoch 300, loss 78.60204315185547\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/wLR8+pyAX7s/gAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x1117c57b8>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 310, loss 60.83543395996094\n",
"epoch 320, loss 60.822086334228516\n",
"epoch 330, loss 36.7750244140625\n",
"epoch 340, loss 0.8988623023033142\n",
"epoch 350, loss 65.21821594238281\n",
"epoch 360, loss 49.64619064331055\n",
"epoch 370, loss 27.786357879638672\n",
"epoch 380, loss 46.25798034667969\n",
"epoch 390, loss 7.223459243774414\n",
"epoch 400, loss 25.316965103149414\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA7QAAAEICAYAAABvULiJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10d24a940>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 410, loss 23.3996524810791\n",
"epoch 420, loss 88.06482696533203\n",
"epoch 430, loss 33.20637893676758\n",
"epoch 440, loss 95.45243835449219\n",
"epoch 450, loss 24.35494613647461\n",
"epoch 460, loss 48.131099700927734\n",
"epoch 470, loss 52.2957878112793\n",
"epoch 480, loss 41.05231475830078\n",
"epoch 490, loss 30.5067195892334\n",
"epoch 500, loss 43.30693435668945\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10d68b7b8>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 510, loss 43.51206970214844\n",
"epoch 520, loss 8.11175537109375\n",
"epoch 530, loss 53.10029220581055\n",
"epoch 540, loss 73.51412963867188\n",
"epoch 550, loss 17.060758590698242\n",
"epoch 560, loss 22.948965072631836\n",
"epoch 570, loss 8.364343643188477\n",
"epoch 580, loss -14.724706649780273\n",
"epoch 590, loss 66.61451721191406\n",
"epoch 600, loss 21.261550903320312\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAJhaAKAAAAAEgoBFUAAAAAQEL5/wFdRZa1sV/JuQAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x11195bcc0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 610, loss 18.68976593017578\n",
"epoch 620, loss 61.84482955932617\n",
"epoch 630, loss 78.4582748413086\n",
"epoch 640, loss -4.728317737579346\n",
"epoch 650, loss 51.91793441772461\n",
"epoch 660, loss 9.831689834594727\n",
"epoch 670, loss 25.269643783569336\n",
"epoch 680, loss 14.83563232421875\n",
"epoch 690, loss 33.029441833496094\n",
"epoch 700, loss 58.76475143432617\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6QAAAEICAYAAABI/jlhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nvqgVUwAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x1045d3ac8>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 710, loss 23.46332550048828\n",
"epoch 720, loss 39.209293365478516\n",
"epoch 730, loss 31.223508834838867\n",
"epoch 740, loss -28.098041534423828\n",
"epoch 750, loss 5.37753438949585\n",
"epoch 760, loss 62.04689407348633\n",
"epoch 770, loss -12.322173118591309\n",
"epoch 780, loss -8.3683443069458\n",
"epoch 790, loss -4.332798004150391\n",
"epoch 800, loss 5.874143123626709\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA7QAAAEICAYAAABvULiJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkjSWbGglSZIkSWPpv7Rb+OYMcRNHAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10e9f4f60>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 810, loss -31.123218536376953\n",
"epoch 820, loss 15.085456848144531\n",
"epoch 830, loss 8.7203369140625\n",
"epoch 840, loss 15.115251541137695\n",
"epoch 850, loss -11.162578582763672\n",
"epoch 860, loss -11.611525535583496\n",
"epoch 870, loss 55.634376525878906\n",
"epoch 880, loss 43.247989654541016\n",
"epoch 890, loss -0.6787646412849426\n",
"epoch 900, loss 23.080198287963867\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10fd65c18>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 910, loss -6.077704906463623\n",
"epoch 920, loss -8.880718231201172\n",
"epoch 930, loss -15.354870796203613\n",
"epoch 940, loss 27.600061416625977\n",
"epoch 950, loss -46.963165283203125\n",
"epoch 960, loss -0.4205078184604645\n",
"epoch 970, loss -0.033203125\n",
"epoch 980, loss 46.2056999206543\n",
"epoch 990, loss 30.641845703125\n",
"epoch 1000, loss -39.917964935302734\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x111f26518>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1010, loss 28.471214294433594\n",
"epoch 1020, loss -12.188410758972168\n",
"epoch 1030, loss -10.475299835205078\n",
"epoch 1040, loss -54.325096130371094\n",
"epoch 1050, loss -43.84966278076172\n",
"epoch 1060, loss 15.493730545043945\n",
"epoch 1070, loss -49.41575241088867\n",
"epoch 1080, loss 11.4888277053833\n",
"epoch 1090, loss 17.029748916625977\n",
"epoch 1100, loss 33.0034294128418\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA7QAAAEICAYAAABvULiJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10403e0f0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1110, loss -21.174909591674805\n",
"epoch 1120, loss -43.8532600402832\n",
"epoch 1130, loss 24.147441864013672\n",
"epoch 1140, loss 18.31338882446289\n",
"epoch 1150, loss -20.408214569091797\n",
"epoch 1160, loss 14.108240127563477\n",
"epoch 1170, loss 5.832258224487305\n",
"epoch 1180, loss 2.63232421875\n",
"epoch 1190, loss 17.239164352416992\n",
"epoch 1200, loss -15.963879585266113\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA7QAAAEICAYAAABvULiJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\noBUREREREZGA9P8BtsYfdvE6tskAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10f308358>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1210, loss 5.057543754577637\n",
"epoch 1220, loss -45.965576171875\n",
"epoch 1230, loss 38.580238342285156\n",
"epoch 1240, loss -9.004137992858887\n",
"epoch 1250, loss 7.846975326538086\n",
"epoch 1260, loss 27.1275691986084\n",
"epoch 1270, loss -12.459746360778809\n",
"epoch 1280, loss 9.994170188903809\n",
"epoch 1290, loss 60.549346923828125\n",
"epoch 1300, loss 12.531445503234863\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x11147dbe0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1310, loss 15.259377479553223\n",
"epoch 1320, loss -82.85916137695312\n",
"epoch 1330, loss 36.199798583984375\n",
"epoch 1340, loss 59.28404235839844\n",
"epoch 1350, loss 0.8053417801856995\n",
"epoch 1360, loss 11.641144752502441\n",
"epoch 1370, loss -23.248516082763672\n",
"epoch 1380, loss -63.078826904296875\n",
"epoch 1390, loss 31.523313522338867\n",
"epoch 1400, loss -24.447782516479492\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA64AAAEICAYAAABf3KmoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x114606160>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1410, loss -25.575571060180664\n",
"epoch 1420, loss -35.79767990112305\n",
"epoch 1430, loss -17.978504180908203\n",
"epoch 1440, loss -12.403229713439941\n",
"epoch 1450, loss 33.847232818603516\n",
"epoch 1460, loss -35.1059684753418\n",
"epoch 1470, loss 68.55838775634766\n",
"epoch 1480, loss -30.927474975585938\n",
"epoch 1490, loss 41.298423767089844\n",
"epoch 1500, loss 14.609016418457031\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA7QAAAEICAYAAABvULiJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xl8XHW9//HXZ5bsS5M2TdN9L7RAWxq2su+LrN4Lil4R\n0Yuo1ytUZPmhXGTxgiDuoMDVy73iBUQRtSJQ1rKUNt2gdKP7ljZrm22yTOb7+2MmIW2Tpm0yOTPJ\n+/l4zGPOnPUTBZL3fDdzziEiIiIiIiKSbHxeFyAiIiIiIiJyOBRoRUREREREJCkp0IqIiIiIiEhS\nUqAVERERERGRpKRAKyIiIiIiIklJgVZERERERESSkgKtiIhIgjCzsWbmzCzgdS0iIiLJQIFWREQk\nSZnZmWb2upntMbNNnRzfZGYhM6uLvV7e5/jnzGyzmdWb2Z/NLL/DsVQz+42Z1ZjZTjOb0wc/koiI\nyCFRoBUREUle9cBvgO8c4JxLnHNZsdd5bTvNbBrwa+ALQCHQADzS4bq7gEnAGOBM4BYzu6B3yxcR\nEekZBVoREZEumNlwM/ujmZWb2UYz+/cOx+4ys+fM7BkzqzWzJWY2vcPxI83sDTPbbWYfmdmlHY6l\nm9mPYq2je8zsbTNL7/Doz5vZFjOrMLM7uqrPObfQOfe/wIbD+PE+D/zVOfeWc64O+B7waTPLjh3/\nInCPc67aObcKeAy49jCeIyIiEjcKtCIiIp0wMx/wV2A5MAI4G7jRzM7vcNplwB+AfOD3wJ/NLGhm\nwdi1LwNDgW8CT5nZlNh1DwGzgNmxa28BIh3uewowJfbMO83syB78KE/FAvnLHQM3MC32swHgnFsP\nNAGTzSwPKOp4PLY9rQd1iIiI9DoFWhERkc4dBxQ45+52zjU75zYAjwOf7XDOYufcc865FuBhIA04\nMfbKAu6PXfsa8Dfg6lhQvg74lnNuu3Ou1Tn3rnOuqcN9v++cCznnlhMNkh2D6KH4PDCWaLfh14GX\nzGxQ7FgWsGef82uA7Ngx9jnedkxERCRhaBZFERGRzo0BhpvZ7g77/MD8Dp+3tm045yJmtg0Y3nbM\nOdex1XUz0ZbeIUSD7/oDPHtnh+0GPgmYh8Q5906Hj/9pZl8ETiXaelwH5OxzSS5QGztG7HjjPsdE\nREQShgKtiIhI57YCG51zkw5wzqi2jVjL60hgR9sxM/N1CLWjgbVABdGQOIG9u/T2BQdYbPsjOrT8\nmtkEIAVY65yrNbPS2PFXYqdMj10jIiKSMNTlWEREpHMLgVozuzU2iZPfzI4ys+M6nDPLzD4dWzf2\nRqJjUBcA7xNtWb0lNqb2DOAS4OlYwP0N8HBs0im/mZ1kZqmHWqCZ+cwsDQhGP1qamaXEjo02s5PN\nLCW2/ztEW4fbWm2fAi4xs1PNLBO4B/iTc66tFfZ/gO+aWV5sDO+/Av99qDWKiIjEkwKtiIhIJ5xz\nrcDFwAxgI9GW1SeIdr1t8wLwGaCa6PI3n3bOtTjnmokG2Atj1z0CXOOcWx277mbgQ2ARUAU8wOH9\nTj4NCAF/J9oCHCI6ERVEx7s+GqttO3ABcKFzrjL2830E3EA02JYBmcDXO9z7P4h2i94MvAH80Dn3\nj8OoUUREJG7MOed1DSIiIknHzO4CJjrn/sXrWkRERAYqtdCKiIiIiIhIUlKgFRERERERkaSkLsci\nIiIiIiKSlNRCKyIiIiIiIkkpKdehHTJkiBs7dqzXZYiIiIiIiEgcLF68uMI5V9DdeUkZaMeOHUtJ\nSYnXZYiIiIiIiEgcmNnmgzlPXY5FREREREQkKSnQioiIiIiISFJSoBUREREREZGkpEArIiIiIiIi\nSUmBVkRERERERJKSAq2IiIiIiIgkJQVaERERERERSUoKtCIiIiIih2jj0hLm/uxB9pTt8roUkQFN\ngVZERERE5BAtfOE5Vr/zJk9888u4SMTrckQGLAVaEREREZGDFKqt4f/uvIWyTRva9z189aV89Oar\nRCKtHlYmMjAp0IqIiIiIHKRNy5ewY81KmkMNe+3/xyM/5ne338Ta99+hpqLMo+pEBp6A1wWIiIiI\niCSL2soKAEZOPYq0zGzWLXqv/Vj5pg389eH/BODos87jtM9fR1pWlid1igwUaqEVERERETkIzjk2\nLi0BYNLxJ3PZzXdw41PPA+DzBzj2osvIzMsH4MPXXua3c25g7YK3cc55VrNIf2c9+RfMzB4ELgGa\ngfXAl5xzu2PHbge+DLQC/+6ce6mT6/OBZ4CxwCbgKudcdXfPLS4udiUlJYddt4iIiIjIoaou3c5v\nbvwqqZmZfOVn/9Xe+hppbaW1NUwwJRWAym1befmxn7NjzUoAJhSfwNnXfY3swUM8q10k2ZjZYudc\ncXfn9bSF9hXgKOfcMcBa4PbYw6cCnwWmARcAj5iZv5PrbwNedc5NAl6NfRYRERERSTg7N6wDYMSU\nqXt1Jfb5/e1hFmDwyFF89q77OecrXyclPZ31Je/z39/+GstemqsZkUV6WY8CrXPuZedcOPZxATAy\ntn0Z8LRzrsk5txFYBxzfyS0uA56MbT8JXN6TekRERERE4mVXLNAWjp/Y7bnm8zH93Iu49uFHmVB8\nIs2hEK/+5lGe/o9btXatSC/qzTG01wEvxrZHAFs7HNsW27evQudcaWx7J1DY1c3N7HozKzGzkvLy\n8t6oV0RERETkoJUdQqBtk50/hMtuvoNL5txO5qA8du8qJSUjI14ligw43c5ybGbzgGGdHLrDOfdC\n7Jw7gDDw1OEW4pxzZtblgF7n3GPAYxAdQ3u4zxEREREROVQuEmHXxvUAFI47+EALYGZMPuFkRh81\nnerS7aRnZcejRJEBqdtA65w750DHzexa4GLgbPfJDFPbgVEdThsZ27evXWZW5JwrNbMiQIt2iYiI\niEjC2b2rlOZQA5l5+WTlDz6se6RlZlE0cUovVyYysPWoy7GZXQDcAlzqnOu4uvRfgM+aWaqZjQMm\nAQs7ucVfgC/Gtr8IvNCTekRERERE4uGT1tkJHlciIh31dAztL4Bs4BUzW2ZmvwJwzn0EPAusBP4B\nfMM51wpgZk+YWdv0y/cD55rZx8A5sc8iIiIiIgmlrqoSgEGFRR5XIiIdddvl+ECcc10OIHDO3Qfc\n18n+r3TYrgTO7kkNIiIiIiLx1tQQ7YyYkpHpcSUi0lFvznIsIiIiItIvNTfUA5CqGYpFEooCrYiI\niIhIN9paaFPVQiuSUBRoRURERES60RyKdTlOVwutSCJRoBURERER6UaTuhyLJCQFWhERERGRbqjL\nsUhiUqAVEREREelGcyjaQpuiFlqRhKJAKyIiIiLSjfYWWo2hFUkoCrQiIiIiIt1oDoUASElP97gS\nEelIgVZEREREpBuBlBQAwi0tHlciIh0p0IqIiIiIdCM1MzoZVNtsxyKSGBRoRURERES6kZoeC7T1\nCrQiiUSBVkRERESkG2qhFUlMCrQiIiIiIt1IjS3Xo0ArklgUaEVEREREupGakQWoy7FIolGgFRER\nERHphrociyQmBVoRERERkW6oy7FIYlKgFRERERHphrociyQmBVoRERERkW60dzmur/O4EhHpSIFW\nRERERKQb7V2OQw0eVyIiHfUo0JrZlWb2kZlFzKy4w/7Pm9myDq+Imc3o5Pq7zGx7h/Mu6kk9IiIi\nIiLx4A8EAWhuUKAVSSSBHl6/Avg08OuOO51zTwFPAZjZ0cCfnXPLurjHj51zD/WwDhERERGRuNmw\ntASAoeMmeFyJiHTUo0DrnFsFYGYHOu1q4OmePEdERERExCut4RbWvPsWAFNPO8vjakSko74YQ/sZ\n4P8OcPybZvaBmf3GzPK6OsnMrjezEjMrKS8v7/0qRUREREQ6sXHpYhrrahkyagwFY8Z5XY6IdNBt\noDWzeWa2opPXZQdx7QlAg3NuRRenPAqMB2YApcCPurqXc+4x51yxc664oKCgu0eLiIiIiPSKlW+9\nBkRbZ7vpmSgifazbLsfOuXN6cP/PcoDWWefcrrZtM3sc+FsPniUiIiIi0qsa6+rYsGQhmHHEKad7\nXY6I7CNuXY7NzAdcxQHGz5pZUYePVxCdZEpEREREJCGseW8+reEwo4+aTnb+EK/LEZF99HTZnivM\nbBtwEjDXzF7qcPg0YKtzbsM+1zzRYYmfH5rZh2b2AXAmcFNP6hERERER6U0r578OwNRTz/S4EhHp\nTE9nOX4eeL6LY28AJ3ay/ysdtr/Qk+eLiIiIiMTL7l072bFmJYHUVCadMNvrckSkE30xy7GIiIiI\nSNJZFWudnXT8bFLS0j2uRkQ6o0ArIiIiIrIP5xwr58dmN1Z3Y5GEpUArIiIiIrKPnevXsntnKZl5\n+Yw+errX5YhIFxRoRURERET2UVtZAcCwCZPx+fweVyMiXVGgFRERERHZRyAlBYDWcIvHlYjIgSjQ\nioiIiIjsIxBMBSDc3ORxJSJyIAq0IiIiIiL7aGuhDTc3e1yJiByIAq2IiIiIyD4UaEWSgwKtiIiI\niMg+AinqciySDBRoRURERET2oRZakeSgQCsiIiIisg8FWpHkoEArIiIiIrKPYHuXYwVakUSmQCsi\nIiIisg9/ShCIjqF1znlcTd8qLy9n5cqVRCIRr0sR6VbA6wJERERERBKNz+fH5w8QaQ3TGg4TCAa9\nLqlPVFdX88QTT9DU1MTo0aO57LLLGDx4sNdliXRJLbQiIiIiIp34ZBztwJnpuKysjKam6M+7ZcsW\nHn30UdavX+9xVSJdU6AVEREREenEQJwYatKkSUyYMKH9c0ZGBiNGjPCwIpEDU6AVEREREelEYABO\nDOXz+bj88svJyMgA4JhjjiEtLc3jqkS6pkArIiIiItKJgdjlGCA7O5vLL78cgPfff5+GhgaPKxLp\nmgKtiIiIiEgnBmKX4zaTJ09m4sSJtLS0sHDhQq/LEemSAq2IiIiISCc+6XI8sFpo25x88skALFy4\nkOYBGOolOfQo0JrZlWb2kZlFzKy4w/6xZhYys2Wx16+6uD7fzF4xs49j73k9qUdEREREpLcM5BZa\ngLFjxzJixAgaGhpYunSp1+WIdKqnLbQrgE8Db3VybL1zbkbsdUMX198GvOqcmwS8GvssIiIiIuI5\nv98PQKS11eNKvGFm7a207777Lq0D9H8HSWw9CrTOuVXOuTU9uMVlwJOx7SeBy3tSj4iIiIhIb2kK\nhQBIic34OxAdccQRDB48mD179rBu3TqvyxHZTzzH0I6LdTd+08xO7eKcQudcaWx7J1DY1c3M7Hoz\nKzGzkvLy8l4vVkRERESko6b6OgDSMjI9rsQ7Pp+PiRMnAlBVVeVxNSL7C3R3gpnNA4Z1cugO59wL\nXVxWCox2zlWa2Szgz2Y2zTlX09VznHPOzNwBjj8GPAZQXFzc5XkiIiIiIr2hLdCmZmZ5XIm3MjOj\ngb6urs7jSkT2122gdc6dc6g3dc41AU2x7cVmth6YDJTsc+ouMytyzpWaWRFQdqjPEhERERGJh8aG\negBSMwduCy1AVlY00CvQSiKKS5djMyswM39sezwwCdjQyal/Ab4Y2/4i0FWLr4iIiIhIn2kNtxBu\nasLn9xNMTfO6HE8p0Eoi6+myPVeY2TbgJGCumb0UO3Qa8IGZLQOeA25wzlXFrnmiwxI/9wPnmtnH\nwDmxzyIiIiIinmpqaAAgNSMTM/O4Gm8p0Eoi67bL8YE4554Hnu9k/x+BP3ZxzVc6bFcCZ/ekBhER\nERGR3vbJ+NmB3d0YPhlDW19f73ElIvuL5yzHIiIiIj3SWNfC/9zxLn/7xXLqqhu9LkcGkMa2QJsx\nsCeEgr0DbSQS8bgakb0p0IqIiEhCchHHe39eT21lI5tXVPJ/dy9kzfs7cc5RW9VIS1Or1yVKP9ZU\nrwmh2gQCAdLT03HO0RDrii2SKHrU5VhEREQkHpobw8z77Uo2Lq/4ZF8oum/Bn9dTV91E4bgc/uk7\nszDfwB7fKPHRFJvheCCvQdtRVlYWoVCIurq69jG1IolALbQiIiKSUGoqQ/zpwSVsXF5BakaAS/99\nBl9/9EzO/MIRBFP91FU3AbBrYw0blpd7XK30V2qh3Vtubi4AVVVVHlcisje10IqIiEjCKF23mxd/\n/SGh2hYGFWbwqa8fw6DCDACmnjycMUcNpqY8xK5NNbzz3DoW/W0j46cXqJVWel37GNpMtUYCFBUV\nsW7dOnbs2MHUqVO9LkeknQKtiIhIP+OcS8plRla9W8obT60m0uoYNTWf8748jbTM4F7nZOamkpmb\nSsGYbJbN20rl9nrWLy1n4qyhHlUt/VV7l2MFWgCGDx8OwI4dOzyuRGRvCrQiIiJJzjlH48qV1L32\nOrWvv0bT6jWkT59O9jlnk3322aSMHet1iQcUiTjee349y17ZAsAxZ47k5H+eiM/f9cioQNDPrAvG\n8NbTa1k0dyMTZqqVVnpX+7I9GkML7B1ok/VLM+mfFGhFRESSXNkPH6Tqt7/da19o6VJCS5dS9uBD\npE2dyshHHyVYmHitmM2hMC//10dsXlGJz2ecdvVkpp064qCunXrycJa8tJmqHfWsW1LGpOLCOFcr\nA0mjxtDuJScnh8zMTOrr66muriY/P9/rkkQATQolIiKS9Gr+8Q8Acq+4glG//hWT3nuXET/9KVln\nnw1A48qVtGzf7mWJndpT3sBzP1zM5hWVpGYGuPRbMw46zAL4gz5mXTgWgEV/20gk4uJUqQxEbV2O\nFWijzEzdjiUhKdCKiIgksZYdOwiXluLLyaHovnvJOv10Anl55Jx/HsHCaItlxnHHkT5zhseV7m37\nmmr+cH8J1aX15BVlcuVtxzFiSt4h3+fI2UXkDk1nxOQ8ws1al1Z6zyddjjWGto0CrSQidTkWERFJ\nYg2LlwCQMXMm5vvke+rGNWupfvpp8Psp/N53E2q820fzt/PW/60lEnGMOWow5315Ginph/cniT/g\n4+o7T8Af0Hf00rvalu1JUwttOwVaSUQKtCIiIkkstDQaaNNnzdpr/54XXoBIhEGf+Qxpkyd7Udp+\nIq0R3n5uHR++vg2AGeeO5qQrJuDr4WROCrMSD+1djjUpVLuioiIASktLiUQi+Hz6d0+8p0ArIiKS\nxBqWLAUg49iZe+2ve+01AHI+dVGf19SZxvoWXn5iBVtXVeMLGGd87giOnF3kdVkiXWpfhzZLXY7b\n5OTkkJ2dTW1tLVVVVQwZMsTrkkQ0hlZERCSZ+TIyAGhcvaZ9X9OGjTRv2oQvN5eMY4/1qrR2u3c1\n8McfLmbrqmrSs4NcfuNMhVlJeK3hMACBQLCbMwcWdTuWRKNAKyIiksTyv3QtAJWPP06kuRmAutej\nrbNZp5+GBbztjLV1ZRXPPVDC7l0NDB6RxT/fVkzRxEGe1iRyMNrGnUciEY8rSSwKtJJoFGhFRESS\nWPbZZ5M6eTLhXbvY88c/AlD7ajTQZp91lmd1Oef44PWt/PUXy2lqCDNu+hA+/Z1jyRmc7llNIoei\nbXyoc1oOqiMFWkk0CrQiIiJJzHw+hnz96wBU/PoxGtesIbRkCZaWRuYpp3hSU2trhDd/v4b5z3yM\nizhmXTiGC796NClpmrpDkodZLNBGtBxUR4MHDwagpqbG40pEovSbRUREJMlln3cuqUccQdPq1Wy8\n/AoAci66CL8Hk9mEW1r5x2Mr2PxhJf6Aj7OuOYLJxw/r8zpEeqptGSynLsd7yYiN229oaPC4EpEo\ntdCKiIgkOfP5GPrtOdEPse6ReVdd2ed1hFtaefFX0TCblhnk8m/PVJiVpGXqctyp1NRUfD4fzc3N\nhGMTZ4l4qUeB1syuNLOPzCxiZsUd9p9rZovN7MPYe6eDeMzsLjPbbmbLYq/EWFtAREQkyezbvTht\n+vQ+fX40zH7Ilo8qScsKctlNMxk2LrdPaxDpTebTpFCdMTO10kpC6WkL7Qrg08Bb++yvAC5xzh0N\nfBH43wPc48fOuRmx1997WI+IiMiAZGb4OnQxjvTh+DbnHC/+agVbPqqKLstz00yGjNTanb3FOUf5\nllreenotH5fs8rqcAcN8fkBdjjuTnh6d3E2BVhJBj8bQOudWwSfTmnfYv7TDx4+AdDNLdc419eR5\nIiIi0rnmzZuJ1NW1fw6Xl+PP7ZsW0qaGMFtWVgJQfNFYBo9QmO0Nobpm1r6/i1XvlVK5Lfr/bcW2\nWiYVF3pc2cDQ9vetAu3+2lpoQ6GQx5WI9M2kUP8ELDlAmP2mmV0DlADfds5Vd3aSmV0PXA8wevTo\nuBQqIiKSrHY/99zeO2KtS30hLTPI8RePY+FfN7LgzxsYPilPLbSHKdIaYcvKKla/V8rG5RVEWqPj\nN9Myg0w+oZAjZxd5XOHA4dOkUF1Sl2NJJN0GWjObB3Q2o8MdzrkXurl2GvAAcF4XpzwK3AO42PuP\ngOs6O9E59xjwGEBxcbFG54uIiMS45mZ2/+n5vfZZoO8CLURbZqt3NvDxol3M/eVy/vm2YjJzU/u0\nhmS2e1cDq94rZc17pdTvaQbADMYcNZgjTipi3DFD8Ac1l2dfapsUSmNo96dAK4mk20DrnDvncG5s\nZiOB54FrnHPru7j3rg7nPw787XCeJSIiMpDVvvY6rZWVpE6aRGt9HeEdpX3aQgvR7plnXXMEtZUh\ndm6o4e+PfsgVc2YSSOnbOpJJc2OY9UvKWPVuKaXr9rTvzx2azpGzi5hyQhFZefpSwCtt69CiWY73\no0AriSQuXY7NbBAwF7jNOffOAc4rcs6Vxj5eQXSSKRERETkEu599BoBBV11FzsWfwjW3EBic3+d1\nBIJ+LrzhGJ57oISyTTW8+uQqzvvytPbZYiU6wVPpuj2seq+UdYvLCDe1AhBI9TNx1lCOnF1E0YTc\n/eYnkb6nFtquKdBKIulRoDWzK4CfAwXAXDNb5pw7H/g3YCJwp5ndGTv9POdcmZk9AfzKOVcC/NDM\nZhDtcrwJ+GpP6hERERlomrdsof7d97DUVHIvvaTPJoLqSkZOCp/6+jH88cHFrFtcxqBhGZxwyXhP\na0oErS0R1i7aybJ5W6naUd++v2hiLkfOLmLCsUNJSeuLqU3kYH0yhrbV40oSj2Y5lkTS01mOnyfa\nrXjf/fcC93ZxzVc6bH+hJ88XEREZ6Hb/IToZVM4FF3geZtsMHpHF+V85irm/XE7J3E0MGprBlBM6\nm46j/2usb+Gj+dv54PVtNMTGxmbkpnDESUUceVIRgwozPK5QutLWs8Cpy/F+NMuxJBJ9FSgiIpKk\nopNB/QmAQZ+5yuNq9jbmqMGcctUk5j/zMa/97ypyhqRTNCExAndfqKkM8cGr2/jonR3t3YoHj8hi\n5rmjmFhciD+gCZ4SXdsYWs1yvL+0tDQAGhsbPa5ERIFWREQkadW+/gatlZWkTJxA+syZXpezn6PP\nGEn1zgZWvLmdv/xkKfnDM8kpSCe3wytnSAaZuSn9Zpxt+ZZalr68mXVLynGRaMveqCPzmHHuaEYd\nma+xsUlEY2i71tblWC20kggUaEVERJJUw/vvA5B78SUJGZTMjFOvmkRDTTMblpZTtrmWss21+50X\nSPEx6sh8Jh1XyLhjhiTdzMjOObZ8VMXSVzazfc1uAHw+Y9IJhcw4ZzQFo7I9rlAOh2kd2i4p0Eoi\nUaAVERFJUs3btwGQOnGCx5V0zef3ccH1R9FQ00xNeYg9FSH2lIei2+UhaipChGpb2Li8go3LKwim\n+Rk/o4DJxxcyckoePn/ids2NTvS0i2XztrRP9BRM8zPtlOEcc9YosvPTPK5QekKBtmttXY5DoRDO\nuYT8Qk0GDgVaERGRJNWyNRpog6NGeVzJgZkZmbmpZOamUjRx0H7H6/c0sa6kjLULd1K2uZY1C3ay\nZsFO0nNSmDRrKJOOL6RwbI5nfzS3tkaoq2qitqqR2soQtZWN1FY2smVVVftET5mDUjnmrJFMO3UE\nqen680r6t0AgQEpKCs3NzTQ1NbUHXBEv6L+4IiIiSchFIrRsiwXaESM9rqZnMnNTmX72KKafPYrd\nuxpYu2gXaxfuZE9ZiA9e38YHr28jtyCdSccXMvm4QvKGZfbq88MtrdRVNVHTIazWVsVelY3U726i\nq4luB4/IZMa5o5mkiZ76nZZYd9pgWrrHlSSmtLQ0mpubCYVCCrTiKQVaERGRJBQur8A1N+PPy8Of\n1bsBz0uDCjM4/uJxHPepsZRvqWXtwl18XLKLPeUhSuZuomTuJgpGZzP5+EImFReSOSi10/u4iKOx\noYVQTQuhumZCtS2EapsJ1bXQWNtMQ20LddXRwNpQ03zAmswgKy+V7MFp0Vd+GjmD08kblsGwCbnq\nbtlPNYWia6ymZmhppc6kp6dTU1OjmY7Fcwq0IiIiSahl21YAgiOTu3W2K2bG0DE5DB2Tw+x/msj2\ntdWsXbiLDUvKKN9SS/mWWt754zpGTM4jb1gGodoWGuuigTVU20xjXUuXrar78vmMrPzU9rCanZ9G\n9uB0sgenkTM4jcy8VPwJPJZX4qO5ITouOkWBtlOaGEoShQKtiIhIEmrrbpwyqn8G2o58PmPUEfmM\nOiKf06+ezOYPK1m7aBebPqxg+5pqtq+p7vS61IwA6dkppGcHSc9KIS07SEZ2CmlZQdKzg2TlRcNr\n5qBUfP1k2SDpHeGWFlrDYXx+P4FgitflJKS2QFtXV+dxJTLQKdCKiIgkoea2CaFGJvaEUL0tEPQz\n4dihTDh2KE0NLWz8oIKm+jDpOdHQ2hZg07KCalWVw/ZJ62ymupR3YcSIEaxatYoVK1ZwzDHHeF2O\nDGAKtCIiIkmofUKokSM8rsQ7qRlBjjixyOsypB9qHz+brgmhujJjxgxee+011q5dy+7duxk0aP8Z\nzEX6gr66FBERSULNsTG0KQm+ZI9IMmpuiAbalIz+M+Fab8vKymLq1KkALF682ONqZCBToBUREUlC\nLdu2A/13UigRLzU1tLXQakKoAykuLgZgyZIlhMNhj6uRgUqBVkREJMlEmpoI79oFfj/BYcO8Lkek\n32kKaYbjgzFmzBgKCgqor69nzZo1XpcjA5QCrYiISJJp2b4DnCNYVIQFg16XI9LvNKuF9qCYWXsr\n7aJFizxXXQ2YAAAgAElEQVSuRgYqBVoREZEk07K9bUIodTcWiYcmjaE9aNOnTycYDLJp0ybKy8u9\nLkcGIAVaERGRJKMZjkXiq1mzHB+0tLQ0jj76aECTQ4k3FGhFRESSTKSpCQB/plqPROKhqcM6tNK9\nWbNmAbBs2TJNDiV9ToFWREQkyZgZAM45jysR6Z8+aaHVGNqDUVpaCoDf7/e4EhmIehRozexKM/vI\nzCJmVrzPsdvNbJ2ZrTGz87u4Pt/MXjGzj2PveT2pR0TkUDjneL/0fYUCST4W+/Ud0T+7IvHQvmyP\nZjnuVk1NDa+88goAF154IYFAwOOKZKDpaQvtCuDTwFsdd5rZVOCzwDTgAuARM+vsK5vbgFedc5OA\nV2OfRUTirrqxmjlvzOErL3+FuRvnel2OyKHxtQXaiLd1iPRTbS20Wrane1VVVfj9fiZPnsy0adO8\nLkcGoB59heKcWwWfdH3q4DLgaedcE7DRzNYBxwPvdXLeGbHtJ4E3gFt7UpOISHe21Gzh2n9cS3mo\nnMxgJv5Ov28TSWC+ti7HCrQi8RBpbQXAfBqd152xY8fyjW98g0gk0lkmEIm7ePUJGAEs6PB5W2zf\nvgqdc6Wx7Z1AYVc3NLPrgesBRo8e3UtlishA9GHFh5SHyvGZj6cueooJgyZ4XZLIIWn/I1tdjkXi\nInvwEABqK7QMzcHI1AR14qFuA62ZzQOGdXLoDufcC71ViHPOmVmXv5mdc48BjwEUFxfrN7iIHLbz\nxpzHYx88xoY9G1hQukCBVpJP2xhajf8WiYvcodE/fXfv2tnlOa7V0VrbhC8tgKX6+7x10rVECFc3\nEq5qpHVPEy4cgXAEF3a4cKT9xT6fO+7Hbwy9YXqf1i3S27oNtM65cw7jvtuBUR0+j4zt29cuMyty\nzpWaWRFQdhjPEhE5JC2RFqobqwEYlzvO42pEDkPb383qciwSF7mF0UC7pywaaCPNrbTsrKdlRx0t\nO+pp3lFHy876aCgECPjwZwXxZQXxZ6Xgywzizw7iy0yJvQfxZaVEz8kIYv7uw69zjkhDmNaqRsKV\nIcKV0fAargrRWtVIa00z9PQ7rYC6VEvyi1eX478Avzezh4HhwCRgYRfnfRG4P/beay2+IiJd+f3q\n31PdVM30gumcVHSS1+WIHLK2LsdOk0KJ9LpIQws5LXlMyTmeYWVj2PlwCeHyUKfh0ZcdxDW24loi\ntO5uonV3Ey3dPcDAlxELv5lBfNkp0fesIJGmVlo7hFfX1Nr1fXzgz0sjMDgNf24qFvRhgb1fBGy/\nfRYw6HiOSJLrUaA1syuAnwMFwFwzW+acO98595GZPQusBMLAN5xzrbFrngB+5ZwrIRpknzWzLwOb\ngat6Uo+IyMF4fevrADS1NrFhzwZ1OZbko2V7RHpVuKqRure3E1pZSevuJgBmDD4zeqwsBD4IFmYQ\nHJ5FcHgWKcMzCQ7PwpcW/VM60txKpK6F1rrmvd732lffQqSumUhDmEh9C5H6FsLd1GWpfgL5sdCa\nn05gcFr0c34a/kFpB9XSK9Lf9XSW4+eB57s4dh9wXyf7v9JhuxI4uyc1iIgcqhuPvZHb5t/G6qrV\nXPnXK/na9K9x7VHXEvQFvS5N5OBo2R6RXtG0pYa6+dsJrahob4G1oI/AsEzWrH6HytAOzrnlW2SN\nG4IFu27N9KX48eVHw2d3XKsj0tBCa10s4NbFtuubsaA/Fl7TCAxOx5cR0MzBIt3QysciktScc4f8\ny/64Ycfx58v+zI9KfsQfP/4jP1v6M17e/DJ3z76bIwcfGadKRXqP+doH0Xpah0gychFH48pKaudv\np3lzTXSn38iYXkDW7OEEh2dhPuMf33mCirJNNARqyA4O7bXnm9/wZ6fgz04BNDuwSE8p0IpIQgpH\nwtw+/3bW7V5HOBKmJdKy33tLpAXDWPKFJYd8/+yUbO6afRcXjLuAu969i9VVq7l67tVcd9R13DD9\nBlL8KXH4qUR6SexLHKcuxyIHzUUcoQ/KqZm3hXBFCABLC5B14jCyThqOPzd1r/NzhxZSsWUTe8p2\nUjh+ohcli8hBUKAVkYRU31LPvC3zCEe6G2F0eK20bU4sOpE/Xfonfr705zy16ike//BxXt3yKj84\n5QdMGzLtsO4pEnemLscinXHhCLXzt+NL85MxvQBfRhDnoi2ye17eTHhXAwD+/DSyTh5OZvEwfKn+\nTu91MEv3iIj3FGhFJCHlpuZyc/HN3L/wfvzm56dn/pQp+VMI+AIEfcG93ns6vigjmMGtx9/KeWPP\n48537mTDng386yv/ytwr5pKXltdLP5FIL2rrcqxle0T20ryllpqXNgGw+4X1pI7PjS65s60OAP+g\nVHLOHk3GsYXdTqjUFmhrynfFtWYR6RnN1S0iCetzR3yOC8ddSKtr5eHFD5OTksOQ9CHkpuaSGcwk\nxZ+Cz3rvP2Mzh87kuUuf44RhJ1DbXMsjyx7ptXuL9KZPlu1Rl2ORjlLG5ECHoNq0YQ8t2+rwZQUZ\ndOkEht1cTOZxww5qduDcoYWAWmhFEp0CrYgkLDPjrpPuYkLuBDbs2cCd796Jc/H9Az7Vn8ptx9+G\nz3z8Ye0fWFe9Lq7PEzksbV/kxPnfB5FkY35j+H+cRHBkVvu+3IvHM+yW48iaPfyQ1l0dVBhtod1T\npkArksgUaEUkoWUEM/jxmT8mM5jJS5te4nerfhf3Z07Mm8iVk6+k1bXyYMmDcQ/RIoesrcuxxtCK\n7MeX4mfItdMIDE4DnxEcmoEvpfNxsgeSUxCd2bimvJxIpLW3yxSRXqJAKyIJb1zuOO45+R4AHi55\nmCW7Dn1W40P1jRnfIDuYzbs73mX+9vlxf57IIWmb5VhjaEU65c9KYch1RzHk2mmkTT68uRCCqWlk\n5uUTaQ1TV1XZyxWKSG9RoBWRpHDumHO5dtq1hF2Ym9+8mYpQRVyfl5eWxw3TbwDgwUUP0hJpievz\nRA5F2xhaNIZWpEuBwemHHWbbtM90vLO0N0oSkThQoBWRpPGtY79FcWEx5aFybn7z5riHzKuPuJox\nOWPYVLOJZ1Y/E9dniRwSLdsj0icGjxgJQMXWLR5XIiJdUaAVkaQR8AV48PQHKUgvYPGuxfx08U/j\n+rygP8jNxTcD8MjyR6hurI7r80QOWvuyPWqhFYmnIaPGAFCxdZO3hYhIlxRoRSSpDEkfwo/O+BEB\nC/Dkyid5edPLcX3e6SNP58SiE7WMjySU9mV7NIZWJK6GjB4LQMXWzd4WIiJdUqAVkaQzc+hMvl38\nbQC+98732LhnY9yeZWbcctwtWsZHEotpDK1IX2gLtJVbN2vGe5EEpUArIknp80d+ngvGXkBDuIGb\nXr+JhpaGuD1rUt4kLeMjicXalu3RUiIi8ZSRk0tG7iCaQyFqysu8LkdEOqFAKyJJycz4/uzvMyF3\nAuv3rOeud++Ka9D8+oyvaxkfSRiBggIAmtat1xcsInGmcbQiiU2BVkSSVkYwg4fPfJiMQAYvbnqR\n36/+fdyelZ+Wz1enfxWILuMT0dhF8VDq5En4C4YQLiuj6eOPvS5HpF9rH0e7ReNoRRKRAq2IJLXx\nueO55+R7AHho0UMsLVsat2d97ojPkZ+Wz6aaTWyt3Rq354h0x8zImn0yAPVvv+NxNSL925DRbS20\nCrQiiUiBVkSS3nljz+OaqdcQdmFufuNmKkIVcXlO0B9kSt4UADbt2RSXZ4gcrMxTTgGg/u23Pa5E\npH8rGDUWgIotmzytQ0Q6p0ArIv3CjbNu5Nihx1IWKuOWt24hHAnH5Tljc8cCxHVmZZGDkXnybDCj\noaSESCjkdTki/dbgkaPBjKod22gNt3hdjojsI26B1syeMbNlsdcmM1vWxXmbzOzD2Hkl8apHRPq3\noC/IQ6c/xJD0ISzauYifLflZXJ4zLnccABtrFGjFW4H8fNKmTsU1N9NQol+fIvESTEtj0NBhRFpb\nqdqx3etyRGQfcQu0zrnPOOdmOOdmAH8E/nSA08+MnVscr3pEpP8ryCjgodMfwm9+fvvRb5m3eV6v\nP2NszlhAXY4lMWSeqm7HIn1h6LgJAOxct9bjSkRkX3HvcmxmBlwF/F+8nyUiMqtwFnNmzQHgu+98\nt9e7Bre30KrLsSSArNg42jpNDCUSV8MnHwnAjrWrPK5ERPbVF2NoTwV2Oee6WlfAAfPMbLGZXd/V\nTczsejMrMbOS8vLyuBQqIv3DF6Z+gfPHnk99Sz1z3phDQ0tDr927MKOQ9EA61U3V7G7c3Wv3FTkc\n6dOn48vMpHn9elp27PC6HJF+a/iUIwDYsUaBViTR9CjQmtk8M1vRyeuyDqddzYFbZ0+JdUu+EPiG\nmZ3W2UnOucecc8XOueKC2ILyIiKdMTO+P/v7jMsdx7rd67jrvbtwzvXavdu7Hdds6pV7ihwuCwbJ\nOOlEAOrU7VgkboaOHU8gmELVjm2Eamu8LkdEOuhRoHXOneOcO6qT1wsAZhYAPg08c4B7bI+9lwHP\nA8f3pCYREYDMYCY/OeMnZAQyeHHji/x+9e977d7qdiyJJKt9+R51OxaJF38gSOGESQCUfrzG42pE\npKN4dzk+B1jtnNvW2UEzyzSz7LZt4DxgRZxrEpEBYvyg8dx98t0APLToIZaVdTrZ+iFrX7pHMx1L\nAmhfj/a993Dh+CxXJSIwfIrG0YokongH2s+yT3djMxtuZn+PfSwE3jaz5cBCYK5z7h9xrklEBpDz\nx57PF6Z+gbAL8+03v01lqLLH92xrodVMx5IIUkaOJGXMGCK1tYQ++NDrckT6rfaJoTSOViShxDXQ\nOueudc79ap99O5xzF8W2Nzjnpsde05xz98WzHhEZmG6adRPHDj2WsoYybnnrFsKRnrVijctRl2NJ\nLO2ttBpHKxI3wydHJ4YqXb+WVvWGEEkYfTHLsYiIp4K+IA+d/hCD0wazcOdCfr705z263+ic0RjG\nttpttERaeqlKkcOXecrJANS9o0ArEi8ZObnkFQ0n3NRE+aYNXpcjIjEKtCIyIBRkFPDQ6Q/hNz+/\nWfEbXt3y6mHfKz2QzvCs4YRdmG21nU4RINKnMo8/HgsGafxwBeHqaq/LEem3Rh81HYAVbx7+7xAR\n6V0KtCIyYBQPK+amWTcB8N23v8vmms2Hfa+2pXvU7VgSgS8zk/RZsyASoeG997wuR6TfmnH+xQB8\n9MY8Ld8jkiAUaEVkQLlm6jWcO+Zc6lrquPH1G2loaTis+7RPDKW1aCVBZLV1O9byPSJxM2TUGMbO\nmEW4uYnlr7zodTkiggKtiAwwZsY9J9/D2JyxrNu9jrsX3I1z7pDvoxZaSTQdJ4Y6nH+mReTgFH/q\nCgCW/uOvhJubPa5GRBRoRWTAyQxm8pMzf0J6IJ25G+by9JqnD/keWrpHEk3qlCn4C4YQLiuj6eOP\nvS5HpN8affR0CsaMo2HPbla984bX5YgMeAq0IjIgTRg0gbtn3w3ADxf9kOXlyw/p+rG5YwHYsGeD\nWsMkIZgZWbOj3Y7r1e1YJG7MjOKLo620i//2Z/0OEPGYAq2IDFgXjLuAfznyXwhHwsx5Yw6VocqD\nvrYgvYBBqYOoaa7h491qDZPEoPVoRfrGlNmnkpWXT+W2LWxavsTrckQGNAVaERnQ5hTPYebQmZQ1\nlHHrW7cSjoQP6joz4/yx5wPwwroX4lmiyEHLPHk2mNFQUkLdm2/iWrROskg8+ANBZl54KQAlf/2T\nx9WIDGwKtCIyoAV9QR46/SHy0/J5f+f7/GLpLw762ssmXAbA3zb8jZaIgoN4L5CfT/qMGbjmZrZ+\n9QY+PuVUSr93J/ULFuBaW70uT6RfOeacC0hJT2fLiuVs/mCZ1+WIDFgKtCIy4A3NGMpDpz+E3/z8\n14r/4rUtrx3UdUcNOYrxueOpaqzine0asyiJYeTPfkrBjd8iddIkWvfsYfcf/sCWa7/Ex6efwc57\n7qVhyRJcJOJ1mSJJLy0zi+MvuxKA1598jIi+NBLxhAKtiAhw3LDj+Nax3wLgjrfvYEvNlm6vMTMu\nmxhtpVW3Y0kUgYIChtxwA+P/+hfG/eUFBn/tBoJjRtNaUUH1U0+x+XOfZ93Z57Drhw8S+nCFJrQR\n6YFZn7qc3KGFVG7bwvJ5WpdWxAuWjL/IiouLXUlJiddliEg/45xjzhtzmLdlHpPzJvO7i35HeiD9\ngNeUNZRx7nPn4jMfr135GnlpeX1UrcjBc87R+NFKav7+d2pefJFwaWn7seCoUWSfdRZZZ59FxrHH\nYoGAh5WKJJ+P33+Xvzz8AwKpqXz2rgcoHD/R65JE+gUzW+ycK+7uPLXQiojEmBn3nHwPY3PGsrZ6\nLfe8d0+3rVdDM4Yye/hswpEwf9/49z6qVOTQmBnpR02j8JbvMPHVeYz5/e/J+5d/wT9kCC1bt1L1\n5JNsueaLfHzyKey49VZaa2q8LlkkaUw8/iSmnnYW4aYmnn/g+9RUlHldksiAokArItJBVkoWPz7j\nx6QH0vnrhr/y7Jpnu71G3Y4lmZjPR8axMxn23TuY9OYbjHnqd+Rfdx0pY8bQumcPde+8iy8ry+sy\nRZKGmXHeV7/JqKlHU7+7mucfuJumhgavyxIZMBRoRXpBuDVCJJJ83felcxPzJnLXSXcBcP+i+/mg\n/IMDnn/mqDPJTslmVdUq1lSt6YMKRXqH+f1kzJpF4S3fYcJL/2D83+cy/Af3YT79eSByKPyBIJd8\n+/+RN3wkFVs2Me+JX3pdksiAod9YIj105a/eZfJ3X2RDRZ3XpUgvumj8RXzuiM8RjoSZ88Ycqhqr\nujw31Z/KReMuAuAv6//SVyWK9LrU8ePJOu00r8sQSUrpWdlc/p3vEUhJZfU7b7Ju0QKvSxIZEBRo\nZWCLtEJzz7oF+cyIONi5p6mXipJEcXPxzUwvmM6uhl3c+tattEa6XpLh8omXA1qTVkRkIMsfPoJT\nr74GgHlP/JJQXa3HFYn0fwq0MnAt/m94cAIseKRHtynKTQOgdE+oF4qSRBL0B/nR6T8iPy2fBaUL\n+OWyrruQTRs8jQm5E6hqrOLtbW/3YZUiIpJIZl5wCSOOmEb97mpe/+/HvC5HpN+LW6A1s7vMbLuZ\nLYu9LurivAvMbI2ZrTOz2+JVj8h+0vMgVA3rX+vRbQrbA20jT8zfwHX/vYiymsbeqFASQGFmIQ+e\n9iA+8/H4h4/z+pbXOz1vrzVp12tyKBGRgcp8Ps7/WnRd81XzX2fnurUeVyTSv8W7hfbHzrkZsdd+\n61mYmR/4JXAhMBW42symxrkmkahxp4P5YOv70HT4XYKG5UQD7dvrKrh37ipeW13G8T94lbG3zeW9\n9ZW9Va146Pii4/nWsdE/Tu54+w621Gzp9LyLx1+Mz3y8ufXNA465FRGR/m1QYRFpWdkAtDTpS26R\nePK6y/HxwDrn3AbnXDPwNHCZxzXJQJE+CEYUQyQMG+cf9m3auhx/vGv/UHzHnz887PtKYvnStC9x\n1qizqG2p5aY3biIU3r+LeUFGAScPP5mwC/Pixhc9qFJERBJBS1Mj42bMYtCwIkYcOc3rckT6tXgH\n2m+a2Qdm9hszy+vk+Ahga4fP22L79mNm15tZiZmVlJeXx6NWGYgmnh19X/I/sPYl2LoQKtZBQ1V0\nwqiDMLUoF7/PqG7YfyKgW84/ojerFQ+ZGfeeci9jcsawtnot9y64F+f2X6pJa9KKiEhKWjoXffNm\nvvTjX+Hz+b0uR6Rfs87+IDvoi83mAcM6OXQHsACoABxwD1DknLtun+v/GbjAOfeV2OcvACc45/7t\nQM8tLi52JSUlh123SLuti+C/zunioEVbcdPzo+NtM/Kj2+3vedH96flURLIobUmn0Z9Lo6XS3OqY\nMiybkXkZffrjSPytrV7L5+d+nsbWRr534ve4aspVex1vam3irGfPoqa5hucueY4p+VM8qlREREQk\neZnZYudccXfnBXryEOdcV0lg32IeB/7WyaHtwKgOn0fG9on0jZHFcN69ULo8OkFUQxWEqqChGpr2\nRPeFqru9zZDYCwB/6j4BOO8AoTi2Pz0P/MF4/qTSSybnTeY/Zv8Ht8+/nfsX3s+R+UdydMHR7cdT\n/alcOO5CnlnzDC+sf4Fb8m/xsFoRERGR/q1HLbQHvLFZkXOuNLZ9E9GW18/uc04AWAucTTTILgI+\n55z76ED3Vgut9InW8CeBNlTVIezG3tsDcPXex1oPcz3a1JwOoTevk+DbFoo7HEvNAbNDf5ZzsGMJ\nZBdBzvDDq3eAu2/BfTy95mmGZQ7j2YufJS/tk1EVKypWcPXcq8lPy2felfMI+vRlhYiIiMih6JMW\n2m780MxmEO1yvAn4aqyw4cATzrmLnHNhM/s34CXAD/ymuzAr0mf8AcgqiL4OlnPQEuokAFd/0vLb\nWShu3A1NNdHX7s0H/zxf4JMW3i66Q+8XilOz4aX/B0uejN7j22sgu7ORA3Igtxx3CyurVvJB+Qfc\n+tatPHrOo/hj46Ta1qRdv2c9b297mzNHn+lxtSIiIiL9U9xaaONJLbTS70Qi0VDbsdW3y1DcIQi3\n1PfsuQVHwjcW9M7PMADtrN/JZ/72Gaoaq7j+mOv55sxvth/77Yrf8vDihzl79Nn85MyfeFiliIiI\nSPI52BZaBVqRZBZu2r/L8wFbhWPbOSPgn38DI2YdXpdlafd+6ftc/8r1RFyEX5z1C04fdToA5Q3l\nnPPcOfjw8epVr5Kflu9xpSIiIiLJIxG6HItIvAVSo92FD6XLcNuXWAqyveKEohP45sxv8tMlP+X2\nt2/nmYufYVT2qPY1aedvn89za5/j+mOu97pUERERkX4n3uvQikiiMVOY7WVfPurLnDnqTGqba5nz\nxhwaw40AXDPtGgAe/+BxttVu87JEERERkX5JgVZEpIfMjPtOuY/R2aNZXbWaexfci3OOE4tO5KJx\nF9HY2si970f3iYiIiEjvUaAVEekF2SnZPHzGw6T503hh/Qs89/FzAHznuO+QnZLNO9vf4aXNL3lc\npYiIiEj/okArItJLpuRP4c6T7gTgP9//T1ZUrGBI+hBumnUTAA8sfICa5hovSxQRERHpVxRoRUR6\n0SUTLuEzUz5DS6SFOW/Mobqxmn+a9E/MKJhBRaiCny35mdclioiIiPQbCrQiIr3sluNu4eghR1Na\nX8pt82/DOcedJ91JwAI8u+ZZlpcv97pEERERkX5BgVZEpJel+FN4+IyHyUvN490d7/Lo8keZlDeJ\nL077Ig7H3e/dTUukxesyRURERJKeAq2ISBwMyxzGA6c9gM98/PqDX/PWtrf46vSvMiJrBGur1/K7\nlb/zukQRERGRpKdAKyISJycNP4l/m/FvANw2/zYqQ5V898TvAvDIskeobqz2sjwRERGRpKdAKyIS\nR18++sucMfIMaptrmfPGHIoLizlmyDE0tjaytnqt1+WJiIiIJDUFWhGROPKZj/tOvY9R2aNYVbWK\nH7z/A0bljAKgtL7U4+pEREREkpsCrYhInOWk5PDjM35Mmj+N59c9zxtb3wBgZ/1ObwsTERERSXIK\ntCIifWBK/hS+d9L3AKhvqQdgV8MuL0sSERERSXoBrwsQERkoLp1wKbvqd/Hs2mcBOGX4KR5XJCIi\nIpLczDnndQ2HrLi42JWUlHhdhoiIiIiIiMSBmS12zhV3d566HIuIiIiIiEhSUqAVERERERGRpBS3\nMbRm9iBwCdAMrAe+5Jzb3cl5m4BaoBUIH0yzsoiIiIiIiEg8W2hfAY5yzh0DrAVuP8C5ZzrnZijM\nioiIiIiIyMGKW6B1zr3snAvHPi4ARsbrWSIiIiIiIjLw9NUY2uuAF7s45oB5ZrbYzK7vo3pERERE\nREQkyfVoDK2ZzQOGdXLoDufcC7Fz7gDCwFNd3OYU59x2MxsKvGJmq51zb3XyrOuB6wFGjx7dk7L/\nf3v3G6pnXcdx/P1pasWKrBQpZ+lIjBE5C4aRmLmKbQ3tUSgE1pMIeqBQhPUkEvZU6kEEY1lCaZi1\nkh4Iy4R60h81Q3NKa7jc0p1VlFaQVN8eXD/xcOLsDOfZfX/P3i843L/rd90cvvDhOofvff9+1yVJ\nkiRJWgNOqqGtqg8c73ySjwM7ga21zANvq+rIeF1IshfYAvxfQ1tVu4HdMD2H9mTqliRJkiT1l2X6\nzJP/xck24FbgfVV1bJn3rAdeUVXPjfE+4JaquneF330MOPRy13waOAf406yL0Etmfv2ZYX9m2J8Z\n9mZ+/Zlhf6cqw7dW1bkrvWk1G9oDwCuBP4+pn1fVp5K8GdhTVTuSbAT2jvNnAHdU1a5VKUgkecA7\nSfdlfv2ZYX9m2J8Z9mZ+/Zlhf/OW4ao9h7aq3rbM/B+BHWN8ELh0tWqQJEmSJK1dp+oux5IkSZIk\nvaxsaE8vu2ddgE6K+fVnhv2ZYX9m2Jv59WeG/c1Vhqu2h1aSJEmSpNXkN7SSJEmSpJZsaCVJkiRJ\nLdnQngaSbEvyRJIDSW6edT1aWZLbkiwkeXTR3BuS7Evyu/H6+lnWqOUluSDJ/UkeS/LbJDeOeTNs\nIsmrkvwyyW9Ghl8a82bYTJJ1SX6d5Efj2AwbSfJkkkeSPJzkgTFnhk0kOTvJ3UkeT7I/yXvMr48k\nl4xr74WfZ5PcNG8Z2tCucUnWAV8FtgObgOuTbJptVToB3wS2LZm7Gbivqi4G7hvHmk//Bj5TVZuA\ny4FPj+vODPv4F3B1VV0KbAa2JbkcM+zoRmD/omMz7Of9VbV50XMvzbCPrwD3VtXbmR7VuR/za6Oq\nnhjX3mbg3cA/gb3MWYY2tGvfFuBAVR2squeB7wDXzrgmraCqfgr8Zcn0tcDtY3w78JFTWpROWFU9\nXVUPjfFzTP/Az8cM26jJ38fhmeOnMMNWkmwAPgzsWTRthv2ZYQNJXgdcCXwdoKqer6q/Yn5dbQV+\nX1WHmLMMbWjXvvOBpxYdHx5z6ue8qnp6jJ8BzptlMToxSS4ELgN+gRm2MpaqPgwsAPuqygz7+TLw\nOYCgSfsAAAI5SURBVOC/i+bMsJcCfpzkwSSfHHNm2MNFwDHgG2PZ/54k6zG/rq4D7hzjucrQhlZq\nqKbnbfnMrTmX5DXA94CbqurZxefMcP5V1X/GMqsNwJYk71hy3gznWJKdwEJVPbjce8ywhSvGdbid\nafvGlYtPmuFcOwN4F/C1qroM+AdLlqaaXw9JzgKuAb679Nw8ZGhDu/YdAS5YdLxhzKmfo0neBDBe\nF2Zcj44jyZlMzey3q+r7Y9oMGxpL5O5n2tduhn28F7gmyZNM222uTvItzLCVqjoyXheY9u5twQy7\nOAwcHqtbAO5manDNr5/twENVdXQcz1WGNrRr36+Ai5NcND5duQ64Z8Y16aW5B7hhjG8AfjjDWnQc\nScK0Z2h/Vd266JQZNpHk3CRnj/GrgQ8Cj2OGbVTV56tqQ1VdyPS/7ydV9THMsI0k65O89oUx8CHg\nUcywhap6BngqySVjaivwGObX0fW8uNwY5izDTN8Say1LsoNpH9E64Laq2jXjkrSCJHcCVwHnAEeB\nLwI/AO4C3gIcAj5aVUtvHKU5kOQK4GfAI7y4d+8LTPtozbCBJO9kutHFOqYPf++qqluSvBEzbCfJ\nVcBnq2qnGfaRZCPTt7IwLV+9o6p2mWEfSTYz3ZTtLOAg8AnG31TMr4XxYdIfgI1V9bcxN1fXoA2t\nJEmSJKkllxxLkiRJklqyoZUkSZIktWRDK0mSJElqyYZWkiRJktSSDa0kSZIkqSUbWkmSJElSSza0\nkiRJkqSW/gemCHcwWmEq4wAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x1117efa20>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1510, loss -38.50157165527344\n",
"epoch 1520, loss 19.162742614746094\n",
"epoch 1530, loss -19.657323837280273\n",
"epoch 1540, loss -17.393653869628906\n",
"epoch 1550, loss -48.31954574584961\n",
"epoch 1560, loss -5.225107192993164\n",
"epoch 1570, loss -11.533738136291504\n",
"epoch 1580, loss 34.153175354003906\n",
"epoch 1590, loss -78.4946517944336\n",
"epoch 1600, loss -42.918338775634766\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA7QAAAEICAYAAABvULiJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x11194ecc0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1610, loss -24.6572322845459\n",
"epoch 1620, loss 23.270532608032227\n",
"epoch 1630, loss 0.5204296708106995\n",
"epoch 1640, loss -69.6334457397461\n",
"epoch 1650, loss 22.252965927124023\n",
"epoch 1660, loss -6.726244926452637\n",
"epoch 1670, loss -10.145852088928223\n",
"epoch 1680, loss 21.76900863647461\n",
"epoch 1690, loss -35.749454498291016\n",
"epoch 1700, loss -53.18586730957031\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6QAAAEICAYAAABI/jlhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xd8VFX6x/HPSQdCNYD0pvSlSMCGClZQVMS197q2VVdX\n17LN3/Z117K6uovK2lBUROyCKIiVjvTeawIhkELqnN8fZ4YESIBkbnJnMt/365XX3Ln3zr1P9L5I\nnjzPOcdYaxERERERERGpbXF+ByAiIiIiIiKxSQmpiIiIiIiI+EIJqYiIiIiIiPhCCamIiIiIiIj4\nQgmpiIiIiIiI+EIJqYiIiIiIiPhCCamIiIhHjDEdjTHWGJPgdywiIiLRQAmpiIiIT4wxQ40xU40x\nu40x6w441t4Yk3vAlzXG3F/unCuNMeuNMXnGmInGmGbljiUbY8YYY/YYY7YZY+6rxW9NRETkiCgh\nFRER8U8eMAZ44MAD1toN1trU0BfwEyAAvAtgjOkF/Be4BmgJ5APPlbvE74FjgQ7AUOBBY8ywmvtW\nREREqk4JqYiI1FnGmNbGmHeNMZnGmLXGmLvLHfu9MWa8MeYtY0yOMWauMaZvueM9jDHTjDHZxpjF\nxpgLyh2rZ4z5Z7A6udsY840xpl65W19ljNlgjNlhjHm0svistTOtta8Ba47g27kWmG6tXRe6B/Ch\ntXa6tTYX+A0wyhjTMHj8OuAP1tpd1tqlwGjg+iO4j4iISK1RQioiInWSMSYO+BD4EWgDnAHca4w5\np9xpFwLvAM2AN4CJxphEY0xi8LOTgRbAz4Gxxphuwc/9AxgAnBT87IO46mXIYKBb8J6/Ncb0CPN7\nMbiE9JVyu3sFvzcArLWrgUKgqzGmKdCq/PHgdq9w4hAREfGaElIREamrBgLNrbX/Z60tstauAV4A\nLi93zhxr7XhrbTHwBJACnBD8SgX+Gvzsl8BHwBXBRPdG4B5r7WZrbam19jtrbWG56z5mrd1rrf0R\nlwj2JTyDcW2548vtSwV2H3DeHqBh8BgHHA8dExERiRiaBVBEROqqDkBrY0x2uX3xwNfl3m8MbVhr\nA8aYTUDr0DFrbfmq53pcpTUNl7iuPsS9t5XbzqcsQayu64B3g625IblAowPOawzkBI8RPF5wwDER\nEZGIoYRURETqqo3AWmvtsYc4p11oI1j5bAtsCR0zxsSVS0rbAyuAHbgkrwv7t8TWiODY1EuAiw44\ntJhylVdjTBcgCVhhrc0xxmwNHv88eErf4GdEREQihlp2RUSkrpoJ5BhjfhWchCjeGNPbGDOw3DkD\njDGjguuG3osbg/kDMANX2XwwOKZ0CHA+MC6YoI4BnghOmhRvjDnRGJNc1QCNMXHGmBQg0b01KcaY\npANOuwjYBUw9YP9Y4HxjzCnGmAbAH4AJ1tpQFfRV4NfGmKbBMay3AC9XNUYREZGapIRURETqJGtt\nKTAC6AesxVU2X8S1roa8D1yGS/iuAUZZa4uttUW4BHR48HPPAddaa5cFP/dLYCEwC8gC/kb1fqae\nCuwFPsFVYPfiJlIq7zrgNWutPeD7WwzchktMM4AGwB3lTvkdrq14PTAN+Lu19rNqxCgiIlJjzAE/\n30RERGKCMeb3wDHW2qv9jkVERCRWqUIqIiIiIiIivlBCKiIiIiIiIr5Qy66IiIiIiIj4QhVSERER\nERER8YUv65CmpaXZjh07+nFrERERERERqWFz5szZYa1tfrjzwkpIjTH9gP8AKUAJcIe1dubhPtex\nY0dmz54dzq1FREREREQkQhlj1h/JeeG27P4deMxa2w/4bfC9iIiIiIiIyGGFm5BaoFFwuzGwJczr\niYiIiIiISIwIdwzpvcAkY8w/cMntSZWdaIy5FbgVoH379mHeVkRERERERKLdYRNSY8wU4OgKDj0K\nnAH8wlr7rjHmUuAl4MyKrmOtHQ2MBkhPT9daMyIiIiIiIjHusAmptbbCBBPAGPMqcE/w7TvAix7F\nJSIiIiIiInVcuGNItwCnBbdPB1aGeT0RERERERGJEeGOIb0FeNoYkwAUEBwjKiIiIiIiInI4YSWk\n1tpvgAEexSIiIhLZSgrh0wfBxEHPkdBxMMTF+x2ViIhI1Aq3QioiIhI7pv0F5rzstmePgQYtoNsw\n6HQatOgJTTtCUn0/IxQREYkqSkhFRESOxKbZ8O3TrjqafiOs+gJ2rYW5r7qvkNSWLjFt1gVOugta\n9vItZBERkUinhFRERORwivfCe7eBDcBJd8PZfwBrYdsCl5iu/w6y1kD2Bsjd7r42znDV0vP+6Xf0\nIiIiEUsJqYiIyKHkZsKkR2DnSkjrBkMfdfuNgVZ93dcp97l9gVJXRf3iMUisD8ff5l/cIiIiUUAJ\nqYiISEWyN8B3z7h23JICiEuAi56HxJTKP7N1Pnz1N7c9/G+QdmztxCoiIhKllJCKiIiUl7EMvnkS\nFr4DttTt6zocTnsA2hxiYvndm+DNK1zyety10P+a2olXREQkiikhFRERAdg0B77+Jyz/2L038fCT\nS2HwvYefmMhamHi7Gzva6VQ47wnX0isiIiKHpIRURERk/hsuoQSIT4b+V8PJd7vZco/Eysmwdjqk\nNIFLXoH4xBoLVUREpC5RQioiIrFt2yL46Bdu+/jbYfAvoGHLI/98aQl8/lu3feoDUL+Z9zGKiIjU\nUUpIRUQkdhXsgbevdeM++10Nw/9a9WvMHwuZy6BJBxh0i/cxioiI1GFxfgcgIiLiC2vhg59D1mpo\n0QvOfbzq1yjKg6l/dttn/BYSkr2NUUREpI5TQioiIrFp5mhYMhGSGsKlr0JS/apf47tnIXcbtO4P\nvUZ5H6OIiEgdp4RURERiz6bZMOlRt33hM5B2TNWvkZsB3z7tts/+I8TpR6qIiEhV6aeniIjElvws\neOd6CBTDoJ9Br4uqd51pf4HiPLdGacfBnoYoIiISK5SQiohI7AgE4L2fwe6N0GaAq2xWR+YKmPMK\nmDg46zFvYxQREYkhSkhFRCR2fPukWzM0pQlc8jIkJFXvOlN+D7YUjrsWmnfzMkIREZGYooRURERi\nw9qv4ctgRXTUaGjSvnrXWTEJln8MiQ1gyCPexSciIhKDlJCKiEjdl7Mdxt8INgCD74Ou51TvOtuX\nwPib3Papv4SGLb2LUUREJAYpIRURkbqttBjevQnyMqDDYBj6aPWuk7Md3rgUinLcEi8n3+ttnCIi\nIjEo7ITUGPNzY8wyY8xiY8zfvQhKRETEE4EAvH8XrPsaGrSAn74E8QlVv07xXhh3hZsMqe1AGPmc\nlnkRERHxQDV+KpcxxgwFLgT6WmsLjTEtvAlLREQkTNbCpEdgwTg33vOKN6Hh0VW/TiAA790Gm+dA\n4/Zw+RuQWM/7eEVERGJQuH/evR34q7W2EMBamxF+SCIiIh6Y/jjMeB7ik+DysdA2vXrXmfonWDIR\nkhvBVW9Dqv72KiIi4pVwE9KuwCnGmBnGmK+MMQO9CEpERCQsM0a7RNLEwcUvQpeh1bvO/Dfg63+A\niYdL/gctengbp8SuQCns3gTrv4Mf34Jd6/yOSETEF4dt2TXGTAEq6nF6NPj5ZsAJwEDgbWNMZ2ut\nreA6twK3ArRvX82p9kVERA5nwTvw6QNue8RT0PPC6l1n3bfwwd1u+9y/wzFnehOfxIaSItizCbI3\nQPZGN/44e6N7v3sD7NkCgZKy8y94Fpp29C1cERG/HDYhtdZW+hPYGHM7MCGYgM40xgSANCCzguuM\nBkYDpKenH5SwioiIhG3FZJh4m9s+8zEYcF31rrNzNbx1FQSK4YQ7YODN3sUodUfxXlj/LexaX5Zw\n7g4mnTnbgMP8utOgBTRp59bEbdS6VkIWEYk0YU1qBEwEhgJTjTFdgSRgR9hRiYiIVNX67+Hta1zV\n6eR7YHA1l2XJz4Kxl8DeXdB1GJz9R2/jlLph1RT46D7IXl/xcRMHjdpC43Yu6Qy9NmnvJsdq3BYS\nU2o3ZhGRCBRuQjoGGGOMWQQUAddV1K4rIiJSo7YthDcug5ICOO5aVx2tjpIiePtayFoNLX/ixp/G\nxXsbq0S33Az47GFYNN69T+sK7Qa5JLNJ+7Lks1FriE/0N1YRkSgQVkJqrS0CrvYoFhERkarbuRpe\nGwWFu6HHBW7cqDFVv4618NEv3JqlqUfDleMguaH38Up0CgRg3mvw+W+hIBsS6sGQh+DEO5V4ioiE\nIdwKqYiIiH/2bIHXRkJeBnQeEl5F85snYf7rLtG4cpxrqRQByFwOH94LG75z77ucAef9E5p18jcu\nEZE6QAmpiIhEp/wsVxnN3gBtBsBlYyEhuXrXWvI+fPEYYODiF6B1f09DlShVXADfPAFfP+EmuGrQ\nHIb9FXpfXL0qvIiIHEQJqYiIRJ/CXDfxUOZSaN4drhoPyanVu1beTph4p9s+6zHocb53cUr0Wvs1\nfHQv7Fzl3ofGJtdv5m9cIiJ1jBJSERGJLiWF8NbVsHm2m0TmmvfCSxK+fwaKcqDL6XDS3d7FKdEp\nPwsm/8a1b4ObtOj8p6HDSf7GJSJSRykhFRGR6BEohQm3wJqprn3ymonhrd+YtwNmjHbbQx9VG2Ys\nsxYWvA2THob8nRCfBKf80i0fVN1WcBEROSwlpCIiEh2sdS2US96H5EZw9QQ4qkt41/zuX1CcB8ec\nBW3TvYlTok/WGrem6Jqp7n3HU2DEk5B2rL9xiYjEACWkIiISHab8Hua+CgkpcOVb0KpPeNfLzYSZ\nL7jtIQ+HHZ5EoZIi17L91d/dGrb1msLZf4R+V6laLiJSS5SQiohI5JvxX/j2KYhLgEtf9WY833dP\nQ3E+HHsOtB0Q/vUkOhTlwbpvXTV0+aewa63b3+dyOOdP0CDN3/hERGKMElIREYlsxXth6p/d9oX/\nhq7nhH/N3AyY+aLbHvJQ+NeTyBUohS3zYPVUWDMNNs5wS7iENO3k2nO7DPUtRBGRWKaEVEREItvi\niVCQDa36Qd/Lvbnmt09DyV7oOhzaHOfNNSUyWOvGhK4JJqBrp0PB7rLjJs6tW9t5CHQeCu1PgPhE\nn4IVERElpCIiEtlmv+ReB97kzfVytsOs4DVVHa0b8rNc8rlmmktEszfsf7xpJ5eAdhkKnU51Y0VF\nRCQiKCEVEZHItXUBbJoFyY2h98XeXDNUHe12HrTu5801pXYVF7jW2zVTXSvu1h8BW3Y8pQl0Ps1V\nQLsMhaYd/YpUREQOQwmpiIhErtlj3GvfyyGpQfjXy9lWVnFVdTR6BAKQsTg4DnQqrP/e/VEhJD4J\n2h3vks/OQ6FVX4iL9y9eERE5YkpIRUQkMhXmwMJ33Hb6Dd5c85un3PIe3UeEv2yM1Lw102Dua7D2\nK8jL3P9Yy95lbbjtT4Kk+j4EKCIi4VJCKiIikWnBW1CUCx1OhhY9wr/enq1lFVetOxr5fnwLJt4G\nNuDeN2xdVgHtfBqktvA3PhER8YQSUhERiTzWwqxg8ph+ozfX/OZJKC2EHhfA0b29uabUjPLJ6Al3\nwoDrIK0rGON3ZCIi4jElpCIiEnk2znRjBuunQY/zw7/eni0w52W3rbGjka18MjrkERjyK78jEhGR\nGhTndwAiIiIHCbXW9r8aEpLDv97XT7jqaM+R0LJX+NeTmqFkVEQk5ighFRGRyJKfBYvfAwwMuD78\n62WtgbmvuOupOhq5lIyKiMQkJaQiIhJZ5o911cxjzoBmncK71raF8L9zobQIeo/yZnIk8Z6SURGR\nmOVJQmqMud8YY40xaV5cT0REYlQgUNaum35TeNdaMw3GDIecrdBhMJz3RNjhSQ1QMioiEtPCntTI\nGNMOOBvYEH44IiIS09Z+5VpsG7WBY8+u/nUWvA0T74BAMfS6CC76rzdjUcVbSkZFRGKeFxXSJ4EH\nAevBtUREJJbNfsm9Drge4qvxN1Nr3QRGE25xyeiJd8HFY5SMRiIloyIiQpgVUmPMhcBma+2P5jBr\ngxljbgVuBWjfvn04txURkbpoz1ZY9gmYeOh/TdU/HyiFTx+EWS8CBs75M5x4h+dhigeUjIqISNBh\nE1JjzBTg6AoOPQo8gmvXPSxr7WhgNEB6erqqqSIisr+5r4IthR4XQKNWVfts8V5492ZY9hHEJ8Oo\n/7pWXYk8SkZFRKScwyak1tozK9pvjPkJ0AkIVUfbAnONMYOstds8jVJEROq20pLg0ixA+o1V+2x+\nFrxxGWyaCSmN4Ypx0OEk72OU8CkZFRGRA1S7ZddauxBoEXpvjFkHpFtrd3gQl4iIxJKVk2DPZmjW\nBTqdduSf27UOXr8Ydq6Cxu3gqvHQonuNhSlhUDIqIiIVCHuWXRERkbDtW+rlBog7wvn2tsyDsZdC\nXga0/Alc9U7VW32ldigZFRGRSniWkFprO3p1LRERiSFZa2HVF27sZ7+rjuwzK6fA29dCcR50HgKX\nvgYpjWoySqmuVVOUjIqISKVUIRUREX/NeRmwbhKi+s0Of/681+GDu90ESH0ugwuehYSkmo5SqiM3\nE9673SWjp9yvZFRERA6ihFRERPxTUgjzXnPbh5vMyFr46u8w7c/u/eBfwBm/g8MsOyY+sRbev8O1\nVHcYDEMf9TsiERGJQEpIRUTEP/PHQv5OaNkb2g2q/LzSEvj4PjcTr4mD4X+HQbfUXpxSdTNfgJWT\nIaWJW4YnLt7viEREJAIpIRURiVAT523mowVbGNm/DSP6tPY7HO/tzYYv/+i2T7mv8kpnUR68c4Ob\niTchBX46BrqfV3txStVtXwKTf+22z38aGrf1Nx4REYlYSkhFRCLUkq17mLI0g+M6NPU7lJox/XFX\nHW1/IvQaVfE5uZnwxqWwZS7UawZXvnXoSqr4r7gA3r0ZSguh/9XQa6TfEYmISARTQioiEqF25hYB\nkNYg2edIasCOlTDjP4CBYX+tuDqatQZeGwW71kKTDnD1BEg7ptZDlSqa8nvIWAzNOsOwv/kdjYiI\nRDglpCIiESorrxCAZg3q4Ayykx6FQAn0vwZa9zv4+Jb5MPankJcJrfrCVeMhtUXtxylVs3IKzHge\n4hLg4hchOdXviEREJMIpIRURiVBZea5C2iy1jiWkKz9340GTGsIZvz34+JppMO4qKMp1a4xe9jok\nN6zlIKXKcjNh4u1ue+gj0GaAv/GIiEhUUEIqIhKhdgRbdpun1qGW3dJimPSI2z7tgYOrngvHw3u3\nQaAYev8URj6vNUajwYFLvJx8r98RiYhIlIjzOwARETmYtZbMHNeym1aXEtJZL8KOFW584fG37X/s\nh//Auze5ZPSEO2DUC0pGo8WsF7XEi4iIVIsqpCIiESouDiiFopIA9ZLqwC/4eTth2l/c9jl/hoRg\nom0tfPEYfPOke3/mY3DyPZUvAyORJWNpuSVentISLyIiUiWqkIqIRCBjDF1bunGTy7fn+ByNR6b+\nCQp2Q+eh0HWY21daDBPvcMmoiYeR/4HB9yoZjRbFBTD+JigpgH5XQ6+L/I5IRESijBJSEZEItS8h\n3bbH50g8sG0RzPmfSzqH/cUlnEV5MO5K+PENSKzv1hjtd4XfkUpVlF/iZbiWeBERkapTy66ISITq\nfrRLSJdti/IKqbXw2UNgAzDoVmjRw7XvvnEpbJ4N9ZrBVe9A23S/I5Wq0BIvIiLiASWkIiIRqtvR\noQpplCekyz6CdV9DvaYw5GHI3gCvjYKdK6Fxe7hmAqQd63eUUhVbf4QJN7ttLfEiIiJhUEIqIhKh\n9iWk23Ow1mKicVxlcQFMetRtD30UcrbC6xe71xa94Op3oVErf2OUqtk0B16/yI0H7naulngREZGw\naAypiEiEap6aTLMGSeQUlLB1d4Hf4VTPD89B9npo3gPSusKY4S4Z7TAYbvhEyWi02TADXr3QJaPd\nR8Alr2iJFxERCYsSUhGRCOVm2nXj8lZE40y7Odtg+j/cdseTYewlULgbelzgKqP1mvgbn1TNum/h\n9VFQlONm073kZa0TKyIiYVPLrohIBGuYkghAYUnA50iq4Yv/g+I8tz17jJvUKP0mOPdxVdWizZpp\n8OYVUJwPfS6DC5+DeP0KISIi4dNPExGRCGatBSDqRo9ungPzx5a9twE3hvTUB7TGaLRZNQXGXVW2\n1ugF/9IfFERExDNhtewaYx43xiwzxiwwxrxnjFH/lYiIh4L5KHHRlMRZC58+VPbexMH5T8NpDyoZ\njTbLP3OV0ZICGHA9XPCMklEREfFUuGNIPwd6W2v7ACuAh8MPSUREQgLBjDQumkb8r/4CNs0se//T\n/7lkRqLL0g/hrauhtMitHzviqSh7EEVEJBqE9ZPFWjvZWlsSfPsD0Db8kEREJCQQrJBGzZIvpcVu\nWZeQq8ZDr5H+xSPVs2gCvH0dBIrhxLtg+N9V3RYRkRrh5Z86bwQ+reygMeZWY8xsY8zszMxMD28r\nIlJ37auQRkMyULwX/nVc2fvLxsKxZ/kXj1TPgrfh3ZvAlsLgX8DZf1QyKiIiNeawCakxZooxZlEF\nXxeWO+dRoAQYW9l1rLWjrbXp1tr05s2bexO9iEgdFxpDGvHpQGGOW9Zl9wb3vk069Bjhb0xemDcW\nnjsJpv4Zcrb7HU3NmzcWJtzqJqE67SE443dKRkVEpEYddpZda+2ZhzpujLkeGAGcYUPTQYqIiCcs\nUVAhzc+CsT91M+uGXPyCf/F4Zf4b8P4dbjtjMXzzJJh4SDvWrcF5VBdfw/Pc3Ffhg5+77dN/7WZE\nFhERqWHhzrI7DHgQuMBam+9NSCIiEhIILj8aF6n5aM52eHnE/slojwugWWf/YvLConfh/Tvd9ol3\nQfcRbnKfkr2wbQE8cxyMGe7GzNYFBXvg41+67bP+T8moiIjUmnDHkD4LNAQ+N8bMN8b8x4OYREQk\nKK/IzRuXnBiBs5tmb4T/DXfVw0ZtyvafdLd/MXlh6Yfw7i1la6ee8ycY+fzB5234Dr78Y+3HVxNW\nTILSQuhwMpx8j9/RiIhIDAl3lt1jrLXtrLX9gl+3eRWYiEiss9aydkceAO2bNfA5mgPsWAVjhkHW\naji6D/S70u1vdzy0G+hvbOFYMRneucFN6HPK/a5SaC18dK87fnQfeGA1dB7q3rfo6V+sXlr6vnvt\neeGhzxMREfHYYceQioiIP7LyisgpKCE1OYG01CS/wymzbSG8dhHkZUK7E+CKN2H0ae7YST/3N7Zw\nrJ3u1t0MFMMJd8Lpv3ET+sx9zbXwJjZwa6o2SINrJ/odrXeK8mDlFLfd43x/YxERkZgTgT1gIiIC\nsG6nq452SmsQOeuQbpwFL5/nktHOQ+GaCbBmGmRvcONGu53rd4TVk7kCxl3t2lbTb3JtusZA5nL4\n9EF3znn/hLRj/I2zJqz83I2NbTsQGrX2OxoREYkxqpCKiESoNZkuIe2YFiHtumu+gjevgOI8N8nP\nT8dAfBJ894w7fuKdEBfvb4zVkZ8Fb14Ghbvd93XuP1wyWlwA42+E4nzocxn0u8LvSGvG0g/cq9p1\nRUTEB6qQiohEqH0V0qPq+xwJbmzl2EtcMtrnMrjkFUhIhvXfwZa5UK8Z9L3S7yirrqQI3r4Wsta4\n8aGjRkNc8Efj57+B7Ytc5fe8f/obZ00pLnATGoHadUVExBeqkIqIRKh1O9xqWp2a+1wh3bHKVQpD\n7azn/qMsafv+Wfc68GZIioDEuSqshU9+Ceu+htSWcMU4SAr+t85YCjNHQ1yiqwQnN/Q3Vi8FArD6\nSwiUwO6NUJQLrfpC045+RyYiIjFICamISIQKzbDb8SgfE9KifFdBLMqBniNdpTA0nnXHSlj+CcQn\nw6Bb/Iuxumb8B+a+AgkpcPmb0Ljc0jWLJrjXfldA6/7+xFcT9myBiXfAmqn779/6I3zyIBzd280c\nXJTnxpOmHetPnCIiEjOUkIqIRCBr7X6TGvnmkwfcOqPNusAFz5Qlo1BWHe17OaS28Ce+6lr5OUx6\nxG2PfA7aDig7Zi0sCc6i2+ui2o+tpiyeCB/eAwXZrsW6eTfY8H3Z8Zn/3f/8Bs3hlyv3/38uIiLi\nMSWkIiIRKCOnkPyiUprWT6RJfZ+WfJn3Osx/3VUQL30VUhqVHcvNhPlvuu0T7/InvurKWBpcazQA\npz0EvS8+4PgS2LHCJW0dT/UnRi8V7HEzBf8Y/P91zFlw4bOwbRGMvRjqNYVRL7rxstsXuf2ZS91M\nyiIiIjVMCamISATa167rV3V02yL4+H63fd4/XStnebNedGNKuw6H5l1rP77qytsBb1zmWpB7XQSn\n/ergcxYHq6M9zof4KP8xuf47eO9nblmehHpw9h/ceF9jYOqf3DmDfgbHnum+AEpL4A9HgYlXdVRE\nRGpclP+kFRGpm9btCM2w60NCWrDHjRstKYB+V0P/q/c/XpQPs15w2ydFWXV04h2Qvd6NC73wubLJ\nmUKshcXvue1eI2s/Pq+UFMG0v8A3TwIWWvWDUS+U/fGgtASWfey2e16w/2dtqXuNxiV8apENBNg7\nZw4pffsSl+RTF4OISB2gZV9ERCLQ2p0+VUithQ9+DlmroUUvOPfxg8/58U3I3+mSug4n12584SjK\ng1Wfu8rf5W9WPCtwxhLYuTK623Uzl8NLZ8I3T7gK5ym/hJs+37+SveE79//wqGPcJEbl7dnsXpMi\nZP3bCLXprp+z/ppryZ06ze9QRESimhJSEZEItDbTpwmNZo52E/okNXTjRg9M2gKl8P2/3fZJP4+u\nls6tP7pxoy17QaNWFZ8Tze26m+bAe7fDf05x32uTDnDDp3DGbyDhgArekvfda48LDv5/uPxT99p5\naM3HHMXqDxoIwO733/c5EhGR6BZlP21FRGLDhiy3BmmtLvmyaTZMetRtX/gMpB1z8DnLP3XV08bt\noceFtRebFzbNdq9tBlR8PBrbdYv3uiVqZr0AW+YFdxrXaj3sL/tPRBVSsLtsWZsD23UBln3iXruf\nVyMh1xWNR4wg4/F/kDt9OiVZWSQ0a+Z3SCIiUUkJqYhIBIuPq6UKZH4WvHM9BIrh+NsqX+4ktNTL\niXdEXwVx8xz32ja94uPR1K6btRZmv+RmQt67y+2r19SN902/EZp1rvyz3zwJe7Og/UlubGl5+Vmu\nnTcuEY43u32RAAAgAElEQVQ9q+birwMS0tJIHTyY3K++Ys9HH9Hs2mv9DklEJCpF2W8TIiKxoV6S\nm1Bmb3FJzd8sEIAJt8LujdAmHc76Q8XnbVvo1q1MbnTwREfRIJSQVlYhDVVHI7VdN1AKq6bAzBfc\nK9btb9UPBt3ilq9JrHfoa2RvhO+fc9tn//Hgdt0Vn7m25k6nQUpjz7+FuqbxRSPJ/eorsidOVEIq\nIlJNEfgTV0RE6gcT0vyi0pq/2TdPuMl+6jWFS14+eLxhyOz/ude+l0Nyw5qPy0s5213CnZQKaRUs\nU2Nt2fjRyqrDfsnPgrmvwuwxboZggPhk6D0KBt4CbStJsCvy5R/dcj29L674c6GZd9Wue0RShw4l\nrlEjCpcspWD5ClK6RdESSCIiEUIJqYhIBKqX6P55rvGEdO30svUoR70ATdpVfF5hDix4y20PuKFm\nY6oJW+a619b9K17OZPti165b/yjoeErtxlYZa2Hea/DZw1CU6/Y1aQ/pN0H/a6DBUVW73pb5sGAc\nxCfBGb89+HjxXlj9pdvuNjy82GNEXHIyjYYPJ/utt9j9/vukPPiA3yGJiEQdzbIrIhKBQhXSguIa\nTEgzl8P4m1yL5in3H3rM4MJ3XFLU/kRo2bPy8yLV4SY0WhKsjnYfERntuvlZ8PY1bgmeolw34+0V\nb8Hd82HwvVVPRq2Fyb9224NuhaYdDz5nzTQoznctwI3bhvsdxIzGI93kXrsnTqRo3br9jhUsXUqg\nqMiHqEREoocSUhGRCFQvsYZbdjfPgTHDIC/DjRcc8kjl51oLs8a47fQbayaemnaoCY0CgXKz60ZA\nu+7qL+G5E2Hph2687qgX4NqJ0G1YxdXdI7FyMqz7GlKawKm/rPgctetWS71+/ah33HGUZmWx7rLL\nyZs5E4Dcr79m7UWj2Pa73/sboIhIhFNCKiISgerV5BjSNdPglQvcTKvHng1XjDt0VXDzHNi+0LWz\n9oyypV4ASovLVUgrSEin/A52roIGLfxt1y0ucO25r10EudtcNfq2b6DPpeFdt7QEPg+26J76gBsr\nfKBAwE1oBEpIq8gYQ7vRo0kdMoTS3bvZcNPNZL87gdyvpgOw+4MPKN6yxecoRUQilycJqTFmmDFm\nuTFmlTHmIS+uKSISy0Itu3uLPJ5ld8kHMPYS1wb6k0vh8jcgqf6hPzM7WB3tdxUkJHsbT23YNBuK\nctxkRo1a7X9s9hj47l8QlwAXv+Bfu+72xfDC6fDDcy6W038N138MTTuEf+15r0HmMmjSwc3GW5Gt\n8yAvExq3gxZR2JLts/jUBrT997M0u/56KC5m66OPkj0huNZraSlZr77ma3wiIpEs7ITUGBMP/BsY\nDvQErjDG6KeZiEgYamSW3bmvwjvXQWkRDPoZXPRfiE889Gfys2DRu257wPXexVKb1kx1r52H7r9/\n5RT4ONi+OuIp6DykNqNyAgG3DMvooZCxGJp1gZsmu0pmddtzyyvMhal/dttn/q7yPyis/Ny9HnvW\nwUvByBEx8fG0fOhXHP3YY5CQgM3P3/ffMvuddyjNyfE5QhGRyORFhXQQsMpau8ZaWwSMA6Kwp0tE\nJHLUS/J4lt1vnnIT5NiAGy86/G8QdwQ/An4cByUFLpk7qos3sdS2NdPca+chZfu2LXTJuS11Ezod\nd03txmQtrPsWXhsJkx52S7Ecdx38bHrlEy9Vx3f/cuOE26RDr1GVn7cvIT3bu3vHqKaXXUr7F0YT\n37QpDc88k/rHH08gL4/st9/xOzQRkYjkRW9SG2BjufebgOMPPMkYcytwK0D79u09uK2ISN1V1rIb\nZkJqrRs/+N2/AAPnPl5522ZFnw216w68Kbw4/FKw27XsmnjoONjt27MFxl7q2pZ7XwxDf1178YSW\nz5n1EmQscfvqNYML/gU9zvf2Xnu2wnfPuO2z/1h55TNvhxsnHJ8EnU71NoYY1eDEEzl2+leQkEDe\n9Onkz5jBrnHjaHbjDRhVoEVE9lNrg2WstaOB0QDp6em2tu4rIhKN9rXshrPsy95driq69EM3LvGi\n/8JPfnrkn1/3jVubs2Er6Dqs+nH4ad23rgra7gRIaeRaWN+4FHK2uH0XPndkleJwZSyFWS+6inNo\nTdEGLVwb9KBbILWF9/ecOdot49J9BHQ4sfLzVn8JWOhwMiQ18D6OGGUSXTt8g8GDiT/qKIo3bqRw\n2TJSevTwOTIRkcjiRUK6GSi/knrb4D4REamm0LIv1Z7UaP338O7NsGcTJDWES/536HVGKzL7Jfd6\n3LWHH2saqXascK9tjnOzzY6/0bXrNuvsJnRKTKm5e5cWw7KPYOaLsP6bsv0dTnYV5+7nQ0JSzd0/\nlPiGKsOVKT9+VDxn4uNpeNaZZI97iz2TJyshFRE5gBcJ6SzgWGNMJ1wiejlwpQfXFRGJWfWrO4Y0\nUApf/xOm/cWNF20zAC5+CZp1qtp1cjNcZdXEuYQ0WuXvcK8NmsNnD8HKSa5F9qrx0OComrnnni0w\n52WY84pbvgUgKRX6XOYS0Za9aua+B0pp4l4Ldld+TqAUVk1x2xo/WmManX022ePeImfSZFrcc4/f\n4YiIRJSwE1JrbYkx5i5gEhAPjLHWLg47MhGRGFatdUhLiuCNS8om8Tn5Xrd8SHWqm/Neg0AJdDsX\nGret+ucjRV4wIV34jhuzGZ/kKqNeT9C0d5ebtXfp+7DsE9cmDNC8Owy82SWjKY28vefhpDQOxpZd\n+Tlb5rn1aJt0gKOOqZ24YlD9gQOJb9yYojVrKFy1iuRj9N9aRCTEkzGk1tpPgE+8uJaIiFRzUqPl\nn7hktH6aW1Ozy+nVu3mg1FX4ANKjdDKjkFBCGppAaOTzhx5PWRU7VsKKz2D5Z7Dh+7IkNC4Beox0\nY0M7nOzfMir1QhXSQySkKye712PP1nIvNcgkJpJ6xhnsnjCBPZMn01wJqYjIPj6tAC4iIodSNqlR\nFcaQLhrvXk+5r/rJKLhJbrI3QJP24V0nEqz6vGz79F9XbVKnA5UWw4Yfgknop5C1uuxYXAJ0PNVN\n/tRrFDRqVf37eCVUIT1Uy67Gj9aahmefxe4JE8iZNJnmd9zhdzgiIhFDCamISASqV9UKacEeWDEZ\nMNDrovBuPis4mdGAG2pnBtqasmv9/u+3LXTttMeceeSTCYVacVd86sZalk/u6jV1lcWu50CXM8oq\nkpEiNIa0spbd3EzYMhfik6HjKbUXV4xqcNJJxKWmUrh8OUXr15PUoYPfIYmIRAQlpCIiESiUiCYn\nxB/ZB754DEoLocNgaNS6+jfO3ugm/olLhP5XV/86ftubDWMv2X/fkvfdV72mLmn/yaXQ7viypLsw\nFzKXu/bezGWweS5snFHWiguQ1tVVQbsNh7aDID6Cf4zWO8ykRqu/cK+dToGk+rUTUwyLS0oi9dRT\n2PPJp+R9/4MSUhGRoAj+SSoiErsycgoBaNEo+fAnL3jbrXEZnwRn/yG8G8991c3O23NkzayNWRtK\niuDta2DHcjep0I2ToDDHtTQveNslnLPHuK8m7d05mctcm/KB9rXiDneVUK8nQ6pJ+1p2K6iQWgsL\n3nLbx6hdt7YktDwagEBujs+RiIhEDiWkIiIRaPueAgBaNjyCdTK3/uheh//NrbdZXaXFLiEFtzxJ\nNLIWPvoFrJ0ODVrAVe+4SmG9JjD4F+5r2yJY+DYseMcloaFENC7RVUBbdIfmPaBlTzcpUaS14h6p\nQy37svRDN1Y4uTH0vrh244phcfVdJTqQv9fnSEREIocSUhGRCJSxpwoV0nP+BN1HQPsTwrvp8k/d\nuplpXV0iFo2+ewbmvw4J9eDKca4CeqCje7uvM37vZsfN3+GqpM06V2+JnEiVlOrWkS3KdX9sCH1v\nhTnw6a/c9pm/hdTm/sUYY+Lq1wMgsFcJqYhIiBJSEZEItK9lt+ERJKTgzVImm2a5194XR+cSIBnL\n4Mtgy/LFL0CbAYc+Py4OOkZp4n0k4uJc2+7eXW7SqwZHuf3T/go5W6D1cW7iKqk1ZRXSfJ8jERGJ\nHFE8faKISN2VkeNadlscScuuV0rcPfe1ekaTQCm8fyeUFsFx10KP8/2OKDIcOI5020L44XlXOR3x\nJMQd4aRZ4glTL1QhVUIqIhKihFREJAJlBiukzY+kZdcroYQ0sRaTYK/M+A9sng0NW8HZf/Q7msiR\n6ibRYcs8CATgo/vcrMGDboXW/fyNLQbF1VOFVETkQGrZFRGJQFWa1MgrxcGENCHKEtKsNfBFsFV3\nxJNlVUGBPpfAxh9cwl6UC5tmuiR16KN+RxaTQi27VpMaiYjsowqpiEgEqtKyL14JVUgTavGe4QoE\n4IO7oWQv9P6pWx9UyvS9wrVgb5oFH97j9g37C6Q08jeuGKVJjUREDqaEVEQkwhSWlJKdX0xCnKFZ\n/aTau3GJS4JJqFd79wzX3Jdh3ddQPw2G/93vaCJPUgMYcH3Z+y6nQ6+LfAsn1sWFxpCqZVdEZB8l\npCIiESY0fjQtNZm4uFqc7bYkWLWJlgrp7k0w+bdu+9y/l80iK/tr0bNse/B90TmDch1RNqmRKqQi\nIiFKSEVEIowv7bpQViFNjIIKqbXw0S+gKAe6nQe9RvkdUWQqKYKv/1n2ftUU/2IRTGJwLdjSUn8D\nERGJIEpIRUQiTMae0BqktTy5UHEUVUgXvA0rJ0NyYzjvn6r6VWbDd7Bjedn7OS9DUZ5v4cQ6E3xO\nrQ34HImISORQQioiEmH2rUHqV4U00mfZzc2Az37ltof9GRq18jeeSJba0r02bgdtB7r1SH8c529M\nsSwu+GtXqRJSEZEQJaQiIhGmrEJa2wlplCz78skvYe8uN0FPv6v8jiaypXWFxAaweyP85BK374fn\n3ezEUvtCCan++4uI7KOEVEQkwuyrkNZ2y240JKRLPoAl77ska8RTatU9nLh4aN3fbTdq4752roTV\nX/gbV6za17JrfQ5ERCRyKCEVEYkw+yY18qtCmhihCWl+Fnx8v9s+8/fQtIOf0USPNsGEdNsCGHSL\n2/7hOf/iiWEmPt5tqEIqIrKPElIRkQizr2W3tseQFkd4hXTSo5CXAe1PhIE3+x1N9Gh9nHvdPBeO\nuw4S68PqLyFjqb9xxSK17IqIHCTshNQY084YM9UYs8QYs9gYc48XgYmIxKpQy27LRrWYGFoLpcFJ\njeIjcJbdlZ/Dj2+4ZPmCZ8t+sZfDaxNMSLfMhXpNoe8V7v0Pz/sXU4wyatkVETmIFz/RS4D7rbU9\ngROAO40xPQ/zGRERqUBhSSk784qIM3BUg6Tau3FJuWQ0kpI9a2HRuzDhVvd+yMOQdoy/MUWbJh2g\n/lGQvxOyN8Dxt7n9C96CvJ3+xhZrVCEVETlI2L91WGu3WmvnBrdzgKVAm3CvKyISizZm5WMttGtW\nn4T4WkwMS0JrkEZQu+7uzfDmFTD+RtibBcecBSfe5XdU0ceYcm27c6B5V/ffsqQA5vzP39hijRJS\nEZGDePrbjjGmI9AfmFHBsVuNMbONMbMzMzO9vK2ISJ2xJjMPgE5pDWr3xvvGj0ZAu24gALP/B8+d\nACs+heRGbkbdK9+G+AS/o4tO5dt2AU68w73OehFKivyJKQbta9lVQioiso9nCakxJhV4F7jXWrvn\nwOPW2tHW2nRrbXrz5s29uq2ISJ2ydodLSDseVcsJaf4O91r/qNq974F2roZXL4CP7oXCPdDtXLhz\nBqTfEFmtxNGmzQD3unkeZK6AWS+59zlbYclE/+KKNaqQiogcxJM/NRtjEnHJ6Fhr7QQvrikiEovW\n7XQJaefmtZyQ5mx3rw1b1u59Q0pL4Id/w9Q/u1bS+mlw7uPQ6yKtNeqFUMvulnmw4XtY9lHZscXv\nQZ9L/Ykr1ighFRE5SNgJqXH9Jy8BS621T4QfkohI7Aq17NZ6hTR3m3tNPbp27wuwbSG8fxdsne/e\n97kchv0F6jer/VjqqtTm0Lgd7N7o2neb94DM4LIvTdr7G1sM0Sy7IiIH86L/6WTgGuB0Y8z84Ne5\nHlxXRCTmhCqktT6GNCeYkNZmhbS4AL74A4we4pLRxu3gqndh1H+VjNaEpFT3WpQHt39XtpbrrBdh\n4Xj/4oolqpCKiBwk7AqptfYbQP1UIiJhyissYfueQpIS4mjdpF7t3jw32LJbWxXS9d/Dh3fDjhWA\ngUG3whm/heSGtXP/WLNni6uIJjaA1v1dYnTuP9yEUd88Ae/eDHEJ0Guk35HWbUpIRUQOoukKRUQi\nRKg62qFZfeLjavnvfLVVIc3Pgs9/C/Nec+/TusIFz0D7E2r2vrFu1RfutdOpZTMpGwNn/s618S58\nBz55ALqcDimN/IuzjivevBmAuIYNsdbua+EVEYllmrJQRCRChGbYrfV2Xaj5Cqm1MP8NeDbdJaPx\nSXDqg/Czr5WM1oZVU9zrMWfsv/+7Z10yCpCXAV/9rXbjijG506cD0OCkk5SMiogEqUIqIhIh1vq1\nBimUq5DWQEKauRw+ug/Wf+PedzwFznsCmnf1/l5ysNISWDPVbR9zZtn+PVvcrMblff8s9L8GWnSv\nvfhiSN70rwFIPfUUnyMREYkcSkhFRCLEWr8mNLK2XIXUw5bd4r0w/R/w7dMQKHZLuZzzJ+hzmZZy\nqU2b50DBbmjWBZp1Kts/+TdQnAfHng2BElj9pdv/3PHwu2z9P/JYoLCQvBkzAGgwWAmpiEiIElIR\nkQjhW8tuwW639mdSKiSnenPNVVPg4/th1zr3/rjr4Mzfa/ZcP4TadRPrwfLPoOPJsHEGLBoPCSlu\ncqPGbd0Y0tkvuXNztkGjVv7FXAflz5qNLSgguXt3Elu28DscEZGIoYRURCRCrPMrIbUBMPFQlAvf\n/xtOvLP618rZBp89DIsnuPctesKIp6D98d7EKlWXmOJety+CNy9zs+nGBX/8n/pLaNrBbY94Anpe\nCLvW1kzrdozL+9qNH009RdVREZHylJCKiESA7PwiduUX0yApnuYNk2v35vWbuerl57+BSY9A9kbX\nWhsXf+TXKNgDC96CL/4PCvdAYn0Y8hCccAfEJ9ZU5HIkBt8H7U9y40hXT4XNs11FvNdFMPj+/c/t\nfBpwmi9h1nW5Gj8qIlIhJaQiIhEg1K7bMa2BP7Nvnnw3NGwFE2+HGc/Dnk0w6gXX5nmgQClkLHWJ\nzabgV+YywLrjXYfBuY9Dk/a1+i1IJYyBDie6r6GPwN5sN9FU2/SydTGlRhVt2kTR2rXEpaZSr18/\nv8MREYkoSkhFRCKAr0u+hPS5xLVqjrsKln4Ir1wAl7/hKp4ZS9zkOJtmw5Z5rr23vLhEaNUXBt8L\n3UdoQpxIVq+JWqhr2X7LvSSqY0BEpDwlpCIiESCUkHb2MyEF6HQK3DQJXv8pbJoJ/zim4vOatIc2\n6dB2oKu0Hd2nbKyiiOxHy72IiFROCamISAQo37LruxY94OYp8NbVri23YWu3LmXr/sEkNB1SNUuo\nyJHYb7kXTWgkInIQJaQiIhHgx03ZAHRt2dDnSIIatYJbvoCifEiq73c0IlErf/Zs7N69JHfrRmJL\nD9f5FRGpIzSbgYiIz7Zk72Vj1l4apiTQo1Ujv8PZn5JRkbCoXVdE5NCUkIqI+GzG2p0ADOzYjPg4\nTQYkUpcULF0KqF1XRKQyatkVEfHZjDVZABzfqZnPkYiI19q/8jKFy5eT3KWL36GIiEQkJaQiIj6b\nsTaYkHY+yudIRMRrxhhSunf3OwwRkYilll0RER9l7Clg7Y48GiTF07t1hI0fFREREalhSkhFRHz0\nQ7A6OqBjMxLi9U+yiIiIxBb99iMi4qMZa9yERho/KiIiIrHIs4TUGBNvjJlnjPnIq2uKiNR1ofGj\nJ3RWQioiIiKxx8sK6T3AUg+vJyJSp+3ILWRVRi4piXH8pE0Tv8MRERERqXWeJKTGmLbAecCLXlxP\nRCQWzAyNH+3QlKQEjaAQERGR2OPVb0BPAQ8CAY+uJyJS55WNH9VyLyIiIhKbwk5IjTEjgAxr7ZzD\nnHerMWa2MWZ2ZmZmuLcVEYloJaUBCopLD3nOvvVHNaGRiIiIxCgvKqQnAxcYY9YB44DTjTGvH3iS\ntXa0tTbdWpvevHlzD24rIhK5Plm0jcF/+5I3Z26o8PiuvCKWbcshKSGOvu00flRERERiU9gJqbX2\nYWttW2ttR+By4Etr7dVhRyYiEqWstbz49Rp25BZhbcXnzFznqqP92zUhJTG+FqMTERERiRyaRUNE\nxGMz12axYNNumjVIYtRxbSo8Z8aaYLtuZ40fFRERkdiV4OXFrLXTgGleXlNEJNq88PVaAK4+oUOl\n1c+Z69yERido/KiIiIjEMFVIRUQ8tCYzly+WbScpIY5rT+xQ4Tl7CopZsmUPifGG/u2b1nKEIiIi\nIpFDCamIiIfGfLsWa2FU/zakpSZXeM7sdVkELPRp24R6SRo/KiIiIrFLCamIiEd25RUxfs4mAG4a\n3KnS87Tci4iIiIijhFRExCOv/7CeguIAQ7o159iWDSs9LzSh0SAlpCIiIhLjlJCKiHigoLiUV75f\nD8Atp3Su9Ly8whIWbd5NfJwhvaMSUhEREYltSkhFRDzwwfwt7MgtpEerRpzUpfKlXOZu2EVJwNK7\ndSNSkz2d6FxEREQk6ighFREJk7WWF79ZA8DNgzthjKn0XLXrioiIiJRRQioiEqbpK3ewYnsuLRsl\nc37f1oc8d+a+CY0qr6KKiIiIxAolpCIiYZq8eBsAI/u3ISmh8n9WC4pLmb8xG2NgoMaPioiIiCgh\nFREJV5+2jQGYsmQ7pQFb6XnzN2ZTVBqg+9GNaFw/sbbCExEREYlYSkhFRMJ0Uf+2tGlSj9WZeXy8\ncGul54XGj2r9URERERFHCamISJiSEuK46/RjAHjmi5UEKqmSzli7E1BCKiIiIhKihFRExAMXH+eq\npCszcvlk0cFV0qKSAHM37AI0w66IiIhIiBJSEREPJCXEccfQLgD8q4Iq6cLN2RQUBzi2RSpHpSb7\nEaKIiIhIxFFCKiLikUsGtKN14xRWbM/l00Xb9js2Y63WHxURERE5kBJSERGPJCXEcftQN5b0wCrp\n/A3ZAAzo0NSX2EREREQikRJSEREPXZrellaNU1i+PYdJi8uqpGkNXZvujtxCv0ITERERiThKSEVE\nPJScEM8dQ9xY0qfLVUn7tWsCuLVIRURERMRRQioi4rFLB7bj6EYpLNuWw+Ql2wHoH0xI521QQioi\nIiISooRURMRjyQnx3D6kbMZday1dmqfSMDmBrbsL2L6nwOcIRURERCKDJwmpMaaJMWa8MWaZMWap\nMeZEL64rIhKtLhvYjpaNklmydQ+fL9lOXJyhT7vGgKqkIiIiIiFeVUifBj6z1nYH+gJLPbquiEhU\nSkmM57bTysaSWms1jlRERETkAGEnpMaYxsCpwEsA1toia61+2xKRmHfFoPY0b5jM4i17+GJpBv3b\nuSVf5m/c5XNkIiIiIpHBiwppJyAT+J8xZp4x5kVjTIMDTzLG3GqMmW2MmZ2ZmenBbUVEIltKYjy3\nB6ukf/1sGYkJ7p/chZt2U1pujVIRERGRWOVFQpoAHAc8b63tD+QBDx14krV2tLU23Vqb3rx5cw9u\nKyIS+a48vj1tm9ZjVUYu142ZCUBeUSkrM3J8jkxERETEf14kpJuATdbaGcH343EJqohIzEtJjGfi\nnSdz8+BOpCSW/ZO7YNNuH6MSERERiQxhJ6TW2m3ARmNMt+CuM4Al4V5XRKSuSEtN5tcjejL9waHc\nPLgT3Vo2pGerRn6HJSIiIuK7BI+u83NgrDEmCVgD3ODRdUVE6owWDVP49YiefochIiIiEjE8SUit\ntfOBdC+uJSIiIiIiIrHBq3VIRURERERERKpECamIiIiIiIj4QgmpiIiIiIiI+EIJqYiIiIiIiPhC\nCamIiIiIiIj4QgmpiIiIiIiI+EIJqYiIiIiIiPjCWGtr/6bGZALrq/nxNGCHh+FIbNHzI+HQ8yPh\n0PMj4dIzJOHQ8yPhqM7z08Fa2/xwJ/mSkIbDGDPbWpvudxwSnfT8SDj0/Eg49PxIuPQMSTj0/Eg4\navL5UcuuiIiIiIiI+EIJqYiIiIiIiPgiGhPS0X4HIFFNz4+EQ8+PhEPPj4RLz5CEQ8+PhKPGnp+o\nG0MqIiIiIiIidUM0VkhFRERERESkDlBCKiIiIiIiIr6ImoTUGPO4MWaZMWaBMeY9Y0yTcsceNsas\nMsYsN8ac42ecEpmMMZcYYxYbYwLGmPQDjun5kcMyxgwLPiOrjDEP+R2PRDZjzBhjTIYxZlG5fc2M\nMZ8bY1YGX5v6GaNELmNMO2PMVGPMkuDPrnuC+/UMyWEZY1KMMTONMT8Gn5/Hgvv1/MgRM8bEG2Pm\nGWM+Cr6vsecnahJS4HOgt7W2D7ACeBjAGNMTuBzoBQwDnjPGxPsWpUSqRcAoYHr5nXp+5EgEn4l/\nA8OBnsAVwWdHpDIv4/5NKe8h4Atr7bHAF8H3IhUpAe631vYETgDuDP6bo2dIjkQhcLq1ti/QDxhm\njDkBPT9SNfcAS8u9r7HnJ2oSUmvtZGttSfDtD0Db4PaFwDhrbaG1di2wChjkR4wSuay1S621yys4\npOdHjsQgYJW1do21tggYh3t2RCpkrZ0OZB2w+0LgleD2K8DIWg1Kooa1dqu1dm5wOwf3S2Eb9AzJ\nEbBObvBtYvDLoudHjpAxpi1wHvBiud019vxETUJ6gBuBT4PbbYCN5Y5tCu4TORJ6fuRI6DkRL7S0\n1m4Nbm8DWvoZjEQHY0xHoD8wAz1DcoSC7ZbzgQzgc2utnh+piqeAB4FAuX019vwkeHUhLxhjpgBH\nV3DoUWvt+8FzHsW1soytzdgk8h3J8yMiEgmstdYYo3XX5JCMManAu8C91to9xph9x/QMyaFYa0uB\nfsE5V94zxvQ+4LieH6mQMWYEkGGt/f/27pg1qiAKw/D7ETTa2GiKQBRTpPUPaBFQUSQEbMRCiKU/\nwGQZJnoAAAHVSURBVEYtBMFWBGutFCGFyDZ2WtiIQRAstLCxEEkhpLISjsW9sousyQay3GzyPtUy\nc1mm+Io9O2fmfkiyOOyZnc7PripIq+rcZvNJrgNLwNnqv0D1O3B84LG5dkz7zFb5+Q/zo1GYE+2E\n9SSzVfUjySzNzoU0VJIDNMXos6p60Q6bIW1LVW0keUNzpt38aBSngeUkl4BDwJEkTxljfiamZTfJ\nRZqt4+Wq+jUw1QOuJplOMg8sAO+7WKMmkvnRKNaAhSTzSQ7SXITV63hNmjw9YKX9vALYuaGh0myF\nPgY+V9WDgSkzpC0lmfn7Nookh4HzwBfMj0ZQVbeqaq6qTtL83nldVdcYY37S32jc3ZJ8BaaBn+3Q\nu6q60c7doTlX+pumreXV8G/RfpXkMvAImAE2gI9VdaGdMz/aUvtP4UNgCnhSVfc7XpJ2sSTPgUXg\nGLAO3AVeAqvACeAbcKWq/r34SCLJGeAt8In+Ga7bNOdIzZA2leQUzaUzUzSbT6tVdS/JUcyPtqFt\n2b1ZVUvjzM/EFKSSJEmSpL1lYlp2JUmSJEl7iwWpJEmSJKkTFqSSJEmSpE5YkEqSJEmSOmFBKkmS\nJEnqhAWpJEmSJKkTFqSSJEmSpE78AcLIamNKqRr2AAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x1138ee588>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1710, loss 1.8196630477905273\n",
"epoch 1720, loss -9.545522689819336\n",
"epoch 1730, loss -19.975927352905273\n",
"epoch 1740, loss -55.423824310302734\n",
"epoch 1750, loss -26.180736541748047\n",
"epoch 1760, loss -27.9014835357666\n",
"epoch 1770, loss -4.602817535400391\n",
"epoch 1780, loss 16.799949645996094\n",
"epoch 1790, loss 59.613162994384766\n",
"epoch 1800, loss -15.319907188415527\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAEICAYAAABWNwnSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nfwGXOJGJkBfP1wAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x111ca15c0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1810, loss 6.751349925994873\n",
"epoch 1820, loss -1.2747583389282227\n",
"epoch 1830, loss -47.929656982421875\n",
"epoch 1840, loss -18.68163299560547\n",
"epoch 1850, loss -26.596961975097656\n",
"epoch 1860, loss -4.664919376373291\n",
"epoch 1870, loss 21.00387954711914\n",
"epoch 1880, loss -32.00030517578125\n",
"epoch 1890, loss -5.804811954498291\n",
"epoch 1900, loss -47.35453796386719\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6wAAAEICAYAAABbKXmVAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x110414860>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"epoch 1910, loss -35.07452392578125\n",
"epoch 1920, loss -32.37730407714844\n",
"epoch 1930, loss -11.768806457519531\n",
"epoch 1940, loss 11.081140518188477\n",
"epoch 1950, loss 22.588315963745117\n",
"epoch 1960, loss -46.96044921875\n",
"epoch 1970, loss -11.381555557250977\n",
"epoch 1980, loss -57.81333923339844\n",
"epoch 1990, loss 15.627839088439941\n"
]
}
],
"source": [
"net = GaussianHandWriting(dropout=0.2)\n",
"optimizer = optim.Adam(net.parameters(), lr=0.005)\n",
"max_epoch = 2000\n",
"info_freq = 10\n",
"save_freq = 100\n",
"max_norm = 10 # for gradient clipping\n",
"\n",
"batch = batch_generator()\n",
"loss_log = []\n",
"hidden = None\n",
"for epoch in range(max_epoch):\n",
" x = next(batch)\n",
" optimizer.zero_grad()\n",
" loss, hidden = net.calculate_loss(x[:-1], x[1:], hidden)\n",
" loss.backward()\n",
" torch.nn.utils.clip_grad_norm(net.parameters(), max_norm)\n",
" optimizer.step()\n",
" hidden.detach_()\n",
" loss_log += loss.data.tolist()\n",
" if epoch%info_freq == 0:\n",
" print('epoch {}, loss {}'.format(epoch, loss.data[0]))\n",
" if epoch%save_freq ==0:\n",
" # save model\n",
" torch.save(net.state_dict(),'net_epoch_{:06d}.pt'.format(epoch))\n",
" # check performance\n",
" x0 = Variable(torch.Tensor([0,0,1]).view(1,1,3))\n",
" data = net.generate(x0)\n",
" plot_points(data)\n",
" plt.title('epoch {}'.format(epoch))\n",
" plt.show()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Post evaluation code\n",
"\n",
"In this stage, we can recreate the net without the dropout feature. The follwing code load saved parameters and generated a longer sequence. This way of saving and loading a model is recommended."
]
},
{
"cell_type": "code",
"execution_count": 30,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA6oAAAD8CAYAAAB+Q1lpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nmQAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x10b24e9b0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"pt_file = 'net_epoch_001900.pt'\n",
"\n",
"net = GaussianHandWriting()\n",
"net.load_state_dict(torch.load(pt_file))\n",
"\n",
"x0 = Variable(torch.Tensor([0,0,1]).view(1,1,3))\n",
"data = net.generate(x0, n=500)\n",
"plot_points(data)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Conclusion\n",
"\n",
"At epoch 0, the generated strokes are random segements. Strokes form recognizable shapes at 200 epoch. The genration quality keeps improving, even though the loss does not decrease much. This will be used as a baseline model to compare with other models, such as GAN and VAE. Also I want to experiment with conditioned input as well."
]
}
],
"metadata": {
"kernelspec": {
"display_name": "Python [conda env:tensorflow]",
"language": "python",
"name": "conda-env-tensorflow-py"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.6.2"
}
},
"nbformat": 4,
"nbformat_minor": 1
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment