Skip to content

Instantly share code, notes, and snippets.

@misodengaku
Last active April 8, 2021 09:21
Show Gist options
  • Save misodengaku/d84a16949467471b01c3727080b745d8 to your computer and use it in GitHub Desktop.
Save misodengaku/d84a16949467471b01c3727080b745d8 to your computer and use it in GitHub Desktop.
#Do NOT ignore VHDL, Verilog, block diagrams or EDIF files.
!*.vhd
!*.v
!*.bd
!*.edif
#*.xci(www.spiritconsortium.org)
!*.xci
*.ip_user_files/
.Xil/
*.tmp/
*.runs/
*.cache/
*.hw/
*.sim/
**/*.srcs/*/bd/mref/
**/*.srcs/*/bd/*/ipshared/
**/*.srcs/*/bd/*/hw_handoff/
**/*.srcs/*/bd/*/ip/*/sim/
**/*.srcs/*/bd/*/ip/*/synth/
**/*.srcs/*/bd/*/ip/*/sysc/
**/*.srcs/*/bd/*/ip/*/src/
**/*.srcs/*/bd/*/sim/
**/*.srcs/*/bd/*/synth/
# SDK
*.sdk/RemoteSystemsTempFiles/
**/.metadata/
*.sdk/webtalk/
*.sdk/.sdk/
*.sdk/uz_petalinux_wrapper_hw_platform_0/
*.sdk/uz_petalinux_wrapper.hdf
*.sdk/SDK.log
# IP
ip/*/doc/
ip/*/src/*/doc/
ip/*/src/*/simulation/
ip/*/src/*/hdl/
ip/*/src/*/sim/
ip/*/src/*/synth/
ip/*/ipshared/
# misc
*.zip
*webtalk.html
*webtalk.xml
*.xsa
*.sysdef
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment