Skip to content

Instantly share code, notes, and snippets.

View moaminsharifi's full-sized avatar
🎆
HARDCODE (not CORE)

Amin Sharifi moaminsharifi

🎆
HARDCODE (not CORE)
View GitHub Profile
@moaminsharifi
moaminsharifi / pandas-vs-modin-in-read_csv.md
Created December 1, 2019 09:11
pandas vs modin in read_csv

pandas vs modin in read_csv

datasets

system:

  • i5-6400
  • 24gb ram 2400mhz
  • samsung 860 evo ssd
  • gtx 10603gb
@moaminsharifi
moaminsharifi / pandas-vs-modin-in-concate.md
Created December 1, 2019 10:14
pandas-vs-modin-in-concate

pandas vs modin in concate

datasets

system:

  • i5-6400
  • 24gb ram 2400mhz
  • samsung 860 evo ssd
  • gtx 10603gb

pandas vs modin in fillna

datasets

system:

  • i5-6400
  • 24gb ram 2400mhz
  • samsung 860 evo ssd
  • gtx 10603gb
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@moaminsharifi
moaminsharifi / hog.py
Created June 4, 2020 05:08 — forked from aishwarya-singh25/hog.py
HOG feature Descriptor
#creating hog features
fd, hog_image = hog(resized_img, orientations=9, pixels_per_cell=(8, 8),
cells_per_block=(2, 2), visualize=True, multichannel=True)
@moaminsharifi
moaminsharifi / table-en.md
Last active June 21, 2020 09:33
A Step-by-Step Introduction to the Basic Object Detection Algorithms (Part 1) RCNN - Fast RCNN - Faster RCNN ــ پیشنهاد شی زمان بر هست و سیستم های مختلف یکی پس از دیگری کار میکنند ( به صورت توالی) که این باعث میشود کارایی کلی سیستم وابسته به توالی بین لایه ها داشته باشد. https://bigm.ir/a-step-by-step-introduction-to-the-basic-object-detection-a…
CNN
Algorithm Features Prediction time / image Limitations
@moaminsharifi
moaminsharifi / face_landmark_with_openCV.ipynb
Last active September 15, 2020 15:15
Simple Face Land Mark with OpenCV
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@moaminsharifi
moaminsharifi / half_adder.vhd
Created October 10, 2020 15:34
Half Adder Module in VHDL (from: www.nandland.com)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity half_adder is
port (
i_bit1 : in std_logic;
i_bit2 : in std_logic;
--
o_sum : out std_logic;
@moaminsharifi
moaminsharifi / ferdosi_all_ganjoor_db_verses.txt
Created November 19, 2020 15:47
Ferdosi All Verses From Ganjoor DB
This file has been truncated, but you can view the full file.
برخیز و بیا بتا برای دل ما حل کن به جمال خویشتن مشکل ما
یک کوزه شراب تا بهم نوش کنیم زان پیش که کوزه ها کنند از گل ما
چون عهده نمی شود کسی فردا را حالی خوش دار این دل پر سودا را
می نوش به ماهتاب ای ماه که ماه بسیار بتابد و نیابد ما را
قرآن که مهین کلام خوانند آن را گه گاه نه بر دوام خوانند آن را
بر گرد پیاله آیتی هست مقیم کاندر همه جا مدام خوانند آن را
گر می نخوری طعنه مزن مستانرا بنیاد مکن تو حیله و دستانرا
تو غره بدان مشو که می مینخوری صد لقمه خوری که می غلام ست آنرا
هر چند که رنگ و بوی زیباست مرا چون لاله رخ و چو سرو بالاست مرا
معلوم نشد که در طربخانه خاک نقاش ازل بهر چه آراست مرا
@moaminsharifi
moaminsharifi / separate.py
Created December 25, 2020 14:11
Dataset Train and Test split
def separate(X, y, train_percent = 70):
"""Separate Function: separate data set to train and test part
Which Each dataset have fair part of each class(or lable)
Parameters
----------
X : numpy array or list
features of dataset
y : numpy array or list
label of dataset