- i5-6400
- 24gb ram 2400mhz
- samsung 860 evo ssd
- gtx 10603gb
- i5-6400
- 24gb ram 2400mhz
- samsung 860 evo ssd
- gtx 10603gb
- i5-6400
- 24gb ram 2400mhz
- samsung 860 evo ssd
- gtx 10603gb
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#creating hog features | |
fd, hog_image = hog(resized_img, orientations=9, pixels_per_cell=(8, 8), | |
cells_per_block=(2, 2), visualize=True, multichannel=True) |
Algorithm | Features | Prediction time / image | Limitations |
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity half_adder is | |
port ( | |
i_bit1 : in std_logic; | |
i_bit2 : in std_logic; | |
-- | |
o_sum : out std_logic; |
This file has been truncated, but you can view the full file.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
برخیز و بیا بتا برای دل ما حل کن به جمال خویشتن مشکل ما | |
یک کوزه شراب تا بهم نوش کنیم زان پیش که کوزه ها کنند از گل ما | |
چون عهده نمی شود کسی فردا را حالی خوش دار این دل پر سودا را | |
می نوش به ماهتاب ای ماه که ماه بسیار بتابد و نیابد ما را | |
قرآن که مهین کلام خوانند آن را گه گاه نه بر دوام خوانند آن را | |
بر گرد پیاله آیتی هست مقیم کاندر همه جا مدام خوانند آن را | |
گر می نخوری طعنه مزن مستانرا بنیاد مکن تو حیله و دستانرا | |
تو غره بدان مشو که می مینخوری صد لقمه خوری که می غلام ست آنرا | |
هر چند که رنگ و بوی زیباست مرا چون لاله رخ و چو سرو بالاست مرا | |
معلوم نشد که در طربخانه خاک نقاش ازل بهر چه آراست مرا |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
def separate(X, y, train_percent = 70): | |
"""Separate Function: separate data set to train and test part | |
Which Each dataset have fair part of each class(or lable) | |
Parameters | |
---------- | |
X : numpy array or list | |
features of dataset | |
y : numpy array or list | |
label of dataset |
OlderNewer