Skip to content

Instantly share code, notes, and snippets.

@moorepants
Last active December 16, 2015 05:39
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save moorepants/a44ddbab1eaa51b4991f to your computer and use it in GitHub Desktop.
Save moorepants/a44ddbab1eaa51b4991f to your computer and use it in GitHub Desktop.
EME 150A Fall 2015 Stats
Display the source blob
Display the rendered blob
Raw
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# EME 150A Fall 2015 Stats"
]
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"import pandas as pd\n",
"import numpy as np\n",
"import matplotlib.pyplot as plt\n",
"from scipy.stats import probplot\n",
"import statsmodels.api as sm\n",
"from IPython.core.pylabtools import figsize\n",
"%matplotlib inline\n",
"figsize(8.0, 8.0)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Midterm"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"midterm_points = pd.read_csv('midterm-grades.csv')"
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"# anonymize\n",
"if 'Name' in midterm_points:\n",
" del midterm_points['Name']\n",
"if 'ID' in midterm_points:\n",
" del midterm_points['ID']\n",
"#grades.to_csv('midterm-grades.csv', index=False)"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>TF1</th>\n",
" <th>TF2</th>\n",
" <th>TF3</th>\n",
" <th>TF4</th>\n",
" <th>TF5</th>\n",
" <th>TF6</th>\n",
" <th>TF7</th>\n",
" <th>TF8</th>\n",
" <th>TF9</th>\n",
" <th>TF10</th>\n",
" <th>...</th>\n",
" <th>SA1</th>\n",
" <th>SA2</th>\n",
" <th>SA3</th>\n",
" <th>SA4</th>\n",
" <th>Mohr</th>\n",
" <th>Multiaxial</th>\n",
" <th>Beam Deflect</th>\n",
" <th>Bonus</th>\n",
" <th>Total</th>\n",
" <th>Hrs</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>0</th>\n",
" <td>0</td>\n",
" <td>0.0</td>\n",
" <td>1.0</td>\n",
" <td>1</td>\n",
" <td>1</td>\n",
" <td>1.0</td>\n",
" <td>1</td>\n",
" <td>1.0</td>\n",
" <td>0</td>\n",
" <td>1.0</td>\n",
" <td>...</td>\n",
" <td>0</td>\n",
" <td>5</td>\n",
" <td>5</td>\n",
" <td>0</td>\n",
" <td>14</td>\n",
" <td>9</td>\n",
" <td>11</td>\n",
" <td>2</td>\n",
" <td>57.0</td>\n",
" <td>NaN</td>\n",
" </tr>\n",
" <tr>\n",
" <th>1</th>\n",
" <td>0</td>\n",
" <td>0.5</td>\n",
" <td>0.5</td>\n",
" <td>1</td>\n",
" <td>1</td>\n",
" <td>0.5</td>\n",
" <td>1</td>\n",
" <td>0.5</td>\n",
" <td>0</td>\n",
" <td>1.0</td>\n",
" <td>...</td>\n",
" <td>5</td>\n",
" <td>5</td>\n",
" <td>0</td>\n",
" <td>5</td>\n",
" <td>18</td>\n",
" <td>10</td>\n",
" <td>8</td>\n",
" <td>2</td>\n",
" <td>61.0</td>\n",
" <td>9</td>\n",
" </tr>\n",
" <tr>\n",
" <th>2</th>\n",
" <td>0</td>\n",
" <td>0.5</td>\n",
" <td>0.5</td>\n",
" <td>1</td>\n",
" <td>1</td>\n",
" <td>0.5</td>\n",
" <td>1</td>\n",
" <td>0.5</td>\n",
" <td>0</td>\n",
" <td>1.0</td>\n",
" <td>...</td>\n",
" <td>5</td>\n",
" <td>5</td>\n",
" <td>5</td>\n",
" <td>3</td>\n",
" <td>16</td>\n",
" <td>9</td>\n",
" <td>9</td>\n",
" <td>2</td>\n",
" <td>62.0</td>\n",
" <td>10</td>\n",
" </tr>\n",
" <tr>\n",
" <th>3</th>\n",
" <td>0</td>\n",
" <td>0.5</td>\n",
" <td>0.5</td>\n",
" <td>1</td>\n",
" <td>1</td>\n",
" <td>0.5</td>\n",
" <td>1</td>\n",
" <td>0.5</td>\n",
" <td>0</td>\n",
" <td>0.5</td>\n",
" <td>...</td>\n",
" <td>5</td>\n",
" <td>5</td>\n",
" <td>0</td>\n",
" <td>5</td>\n",
" <td>20</td>\n",
" <td>11</td>\n",
" <td>5</td>\n",
" <td>2</td>\n",
" <td>62.5</td>\n",
" <td>5</td>\n",
" </tr>\n",
" <tr>\n",
" <th>4</th>\n",
" <td>0</td>\n",
" <td>0.0</td>\n",
" <td>0.0</td>\n",
" <td>1</td>\n",
" <td>1</td>\n",
" <td>1.0</td>\n",
" <td>1</td>\n",
" <td>1.0</td>\n",
" <td>1</td>\n",
" <td>1.0</td>\n",
" <td>...</td>\n",
" <td>5</td>\n",
" <td>0</td>\n",
" <td>0</td>\n",
" <td>3</td>\n",
" <td>18</td>\n",
" <td>13</td>\n",
" <td>8</td>\n",
" <td>2</td>\n",
" <td>64.0</td>\n",
" <td>8</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"<p>5 rows × 25 columns</p>\n",
"</div>"
],
"text/plain": [
" TF1 TF2 TF3 TF4 TF5 TF6 TF7 TF8 TF9 TF10 ... SA1 SA2 SA3 SA4 \\\n",
"0 0 0.0 1.0 1 1 1.0 1 1.0 0 1.0 ... 0 5 5 0 \n",
"1 0 0.5 0.5 1 1 0.5 1 0.5 0 1.0 ... 5 5 0 5 \n",
"2 0 0.5 0.5 1 1 0.5 1 0.5 0 1.0 ... 5 5 5 3 \n",
"3 0 0.5 0.5 1 1 0.5 1 0.5 0 0.5 ... 5 5 0 5 \n",
"4 0 0.0 0.0 1 1 1.0 1 1.0 1 1.0 ... 5 0 0 3 \n",
"\n",
" Mohr Multiaxial Beam Deflect Bonus Total Hrs \n",
"0 14 9 11 2 57.0 NaN \n",
"1 18 10 8 2 61.0 9 \n",
"2 16 9 9 2 62.0 10 \n",
"3 20 11 5 2 62.5 5 \n",
"4 18 13 8 2 64.0 8 \n",
"\n",
"[5 rows x 25 columns]"
]
},
"execution_count": 4,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points.head()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## What was the overall grade distribution?"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"count 27.000000\n",
"mean 77.148148\n",
"std 11.462996\n",
"min 57.000000\n",
"25% 66.500000\n",
"50% 80.000000\n",
"75% 86.000000\n",
"max 95.000000\n",
"Name: Total, dtype: float64"
]
},
"execution_count": 5,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Total'].describe()"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAd0AAAHfCAYAAAAV9QJlAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0a2beb00>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = midterm_points['Total'].hist(bins=8)"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfoAAAH4CAYAAACi3S9CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0a22f278>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = probplot(midterm_points['Total'], dist=\"norm\", plot=plt)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## A's"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"5"
]
},
"execution_count": 8,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Total'][midterm_points['Total'] >= 90.0].count()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## B's"
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"9"
]
},
"execution_count": 9,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Total'][(midterm_points['Total'] >= 80.0) & (midterm_points['Total'] < 90.0)].count()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## C's"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"5"
]
},
"execution_count": 10,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Total'][(midterm_points['Total'] >= 70.0) & (midterm_points['Total'] < 80.0)].count()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## D's"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"7"
]
},
"execution_count": 11,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Total'][(midterm_points['Total'] >= 60.0) & (midterm_points['Total'] < 70.0)].count()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## F's"
]
},
{
"cell_type": "code",
"execution_count": 12,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"1"
]
},
"execution_count": 12,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Total'][midterm_points['Total'] < 60.0].count()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## True/False Distribution"
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>TF1</th>\n",
" <th>TF2</th>\n",
" <th>TF3</th>\n",
" <th>TF4</th>\n",
" <th>TF5</th>\n",
" <th>TF6</th>\n",
" <th>TF7</th>\n",
" <th>TF8</th>\n",
" <th>TF9</th>\n",
" <th>TF10</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>0.462963</td>\n",
" <td>0.703704</td>\n",
" <td>0.777778</td>\n",
" <td>0.981481</td>\n",
" <td>0.814815</td>\n",
" <td>0.851852</td>\n",
" <td>0.962963</td>\n",
" <td>0.759259</td>\n",
" <td>0.333333</td>\n",
" <td>0.870370</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>0.478899</td>\n",
" <td>0.373632</td>\n",
" <td>0.348991</td>\n",
" <td>0.096225</td>\n",
" <td>0.395847</td>\n",
" <td>0.232661</td>\n",
" <td>0.192450</td>\n",
" <td>0.376481</td>\n",
" <td>0.459933</td>\n",
" <td>0.327948</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.500000</td>\n",
" <td>0.000000</td>\n",
" <td>0.500000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>0.000000</td>\n",
" <td>0.500000</td>\n",
" <td>0.500000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>0.500000</td>\n",
" <td>1.000000</td>\n",
" <td>0.500000</td>\n",
" <td>0.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>0.500000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>0.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" TF1 TF2 TF3 TF4 TF5 TF6 \\\n",
"count 27.000000 27.000000 27.000000 27.000000 27.000000 27.000000 \n",
"mean 0.462963 0.703704 0.777778 0.981481 0.814815 0.851852 \n",
"std 0.478899 0.373632 0.348991 0.096225 0.395847 0.232661 \n",
"min 0.000000 0.000000 0.000000 0.500000 0.000000 0.500000 \n",
"25% 0.000000 0.500000 0.500000 1.000000 1.000000 0.500000 \n",
"50% 0.500000 1.000000 1.000000 1.000000 1.000000 1.000000 \n",
"75% 1.000000 1.000000 1.000000 1.000000 1.000000 1.000000 \n",
"max 1.000000 1.000000 1.000000 1.000000 1.000000 1.000000 \n",
"\n",
" TF7 TF8 TF9 TF10 \n",
"count 27.000000 27.000000 27.000000 27.000000 \n",
"mean 0.962963 0.759259 0.333333 0.870370 \n",
"std 0.192450 0.376481 0.459933 0.327948 \n",
"min 0.000000 0.000000 0.000000 0.000000 \n",
"25% 1.000000 0.500000 0.000000 1.000000 \n",
"50% 1.000000 1.000000 0.000000 1.000000 \n",
"75% 1.000000 1.000000 1.000000 1.000000 \n",
"max 1.000000 1.000000 1.000000 1.000000 "
]
},
"execution_count": 13,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"tf_points = midterm_points[[x for x in midterm_points.columns if x.startswith('TF')]]\n",
"tf_points.describe()"
]
},
{
"cell_type": "code",
"execution_count": 14,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeUAAAHpCAYAAAC88iQZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nB2UzM7Mu8f+Hso+v90IC7gAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0a0c1940>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = tf_points.hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## But what if we count the 0.5 values as 1.0?"
]
},
{
"cell_type": "code",
"execution_count": 15,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"tf_points2 = tf_points.copy()\n",
"tf_points2[tf_points2 == 0.5] = 1.0"
]
},
{
"cell_type": "code",
"execution_count": 16,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>TF1</th>\n",
" <th>TF2</th>\n",
" <th>TF3</th>\n",
" <th>TF4</th>\n",
" <th>TF5</th>\n",
" <th>TF6</th>\n",
" <th>TF7</th>\n",
" <th>TF8</th>\n",
" <th>TF9</th>\n",
" <th>TF10</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27</td>\n",
" <td>27.000000</td>\n",
" <td>27</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>0.518519</td>\n",
" <td>0.851852</td>\n",
" <td>0.888889</td>\n",
" <td>1</td>\n",
" <td>0.814815</td>\n",
" <td>1</td>\n",
" <td>0.962963</td>\n",
" <td>0.851852</td>\n",
" <td>0.370370</td>\n",
" <td>0.888889</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>0.509175</td>\n",
" <td>0.362014</td>\n",
" <td>0.320256</td>\n",
" <td>0</td>\n",
" <td>0.395847</td>\n",
" <td>0</td>\n",
" <td>0.192450</td>\n",
" <td>0.362014</td>\n",
" <td>0.492103</td>\n",
" <td>0.320256</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>1</td>\n",
" <td>0.000000</td>\n",
" <td>1</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>0.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>0.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>0.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" TF1 TF2 TF3 TF4 TF5 TF6 TF7 \\\n",
"count 27.000000 27.000000 27.000000 27 27.000000 27 27.000000 \n",
"mean 0.518519 0.851852 0.888889 1 0.814815 1 0.962963 \n",
"std 0.509175 0.362014 0.320256 0 0.395847 0 0.192450 \n",
"min 0.000000 0.000000 0.000000 1 0.000000 1 0.000000 \n",
"25% 0.000000 1.000000 1.000000 1 1.000000 1 1.000000 \n",
"50% 1.000000 1.000000 1.000000 1 1.000000 1 1.000000 \n",
"75% 1.000000 1.000000 1.000000 1 1.000000 1 1.000000 \n",
"max 1.000000 1.000000 1.000000 1 1.000000 1 1.000000 \n",
"\n",
" TF8 TF9 TF10 \n",
"count 27.000000 27.000000 27.000000 \n",
"mean 0.851852 0.370370 0.888889 \n",
"std 0.362014 0.492103 0.320256 \n",
"min 0.000000 0.000000 0.000000 \n",
"25% 1.000000 0.000000 1.000000 \n",
"50% 1.000000 0.000000 1.000000 \n",
"75% 1.000000 1.000000 1.000000 \n",
"max 1.000000 1.000000 1.000000 "
]
},
"execution_count": 16,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"tf_points2.describe()"
]
},
{
"cell_type": "code",
"execution_count": 17,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeUAAAHpCAYAAAC88iQZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nZTgAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0650b0b8>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = tf_points2.hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## How many people didn't write an explanation for the false statements?"
]
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"8"
]
},
"execution_count": 18,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"tf_points[tf_points == 0.5].count().max()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Multiple Choice Distribution"
]
},
{
"cell_type": "code",
"execution_count": 19,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>MC1</th>\n",
" <th>MC2</th>\n",
" <th>MC3</th>\n",
" <th>MC4</th>\n",
" <th>MC5</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>1.851852</td>\n",
" <td>1.259259</td>\n",
" <td>0.740741</td>\n",
" <td>0.962963</td>\n",
" <td>1.481481</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>0.533761</td>\n",
" <td>0.984206</td>\n",
" <td>0.984206</td>\n",
" <td>1.018350</td>\n",
" <td>0.893152</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>2.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>1.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" MC1 MC2 MC3 MC4 MC5\n",
"count 27.000000 27.000000 27.000000 27.000000 27.000000\n",
"mean 1.851852 1.259259 0.740741 0.962963 1.481481\n",
"std 0.533761 0.984206 0.984206 1.018350 0.893152\n",
"min 0.000000 0.000000 0.000000 0.000000 0.000000\n",
"25% 2.000000 0.000000 0.000000 0.000000 1.000000\n",
"50% 2.000000 2.000000 0.000000 0.000000 2.000000\n",
"75% 2.000000 2.000000 2.000000 2.000000 2.000000\n",
"max 2.000000 2.000000 2.000000 2.000000 2.000000"
]
},
"execution_count": 19,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"mc_points = midterm_points[[x for x in midterm_points.columns if x.startswith('MC')]]\n",
"mc_points.describe()"
]
},
{
"cell_type": "code",
"execution_count": 20,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeUAAAHpCAYAAAC88iQZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a05f80978>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = mc_points.hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Short Answer Distribution"
]
},
{
"cell_type": "code",
"execution_count": 21,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>SA1</th>\n",
" <th>SA2</th>\n",
" <th>SA3</th>\n",
" <th>SA4</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>4.259259</td>\n",
" <td>4.296296</td>\n",
" <td>2.666667</td>\n",
" <td>4.407407</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>1.810070</td>\n",
" <td>1.234592</td>\n",
" <td>2.253203</td>\n",
" <td>1.185141</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>5.000000</td>\n",
" <td>3.000000</td>\n",
" <td>0.000000</td>\n",
" <td>4.500000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" <td>3.000000</td>\n",
" <td>5.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" <td>5.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" SA1 SA2 SA3 SA4\n",
"count 27.000000 27.000000 27.000000 27.000000\n",
"mean 4.259259 4.296296 2.666667 4.407407\n",
"std 1.810070 1.234592 2.253203 1.185141\n",
"min 0.000000 0.000000 0.000000 0.000000\n",
"25% 5.000000 3.000000 0.000000 4.500000\n",
"50% 5.000000 5.000000 3.000000 5.000000\n",
"75% 5.000000 5.000000 5.000000 5.000000\n",
"max 5.000000 5.000000 5.000000 5.000000"
]
},
"execution_count": 21,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"sa_points = midterm_points[[x for x in midterm_points.columns if x.startswith('SA')]]\n",
"sa_points.describe()"
]
},
{
"cell_type": "code",
"execution_count": 22,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHpCAYAAABa2+9dAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a05ef5780>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = sa_points.hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Long Answer Distribution"
]
},
{
"cell_type": "code",
"execution_count": 23,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>Mohr</th>\n",
" <th>Multiaxial</th>\n",
" <th>Beam Deflect</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>17.629630</td>\n",
" <td>14.333333</td>\n",
" <td>14.074074</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>2.633339</td>\n",
" <td>4.104407</td>\n",
" <td>4.548253</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>9.000000</td>\n",
" <td>7.000000</td>\n",
" <td>5.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>16.500000</td>\n",
" <td>11.000000</td>\n",
" <td>10.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>18.000000</td>\n",
" <td>15.000000</td>\n",
" <td>14.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>20.000000</td>\n",
" <td>18.000000</td>\n",
" <td>18.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>20.000000</td>\n",
" <td>20.000000</td>\n",
" <td>20.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" Mohr Multiaxial Beam Deflect\n",
"count 27.000000 27.000000 27.000000\n",
"mean 17.629630 14.333333 14.074074\n",
"std 2.633339 4.104407 4.548253\n",
"min 9.000000 7.000000 5.000000\n",
"25% 16.500000 11.000000 10.000000\n",
"50% 18.000000 15.000000 14.000000\n",
"75% 20.000000 18.000000 18.000000\n",
"max 20.000000 20.000000 20.000000"
]
},
"execution_count": 23,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"la_points = midterm_points[['Mohr', 'Multiaxial', 'Beam Deflect']]\n",
"la_points.describe()"
]
},
{
"cell_type": "code",
"execution_count": 24,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAd0AAAHpCAYAAADH1ubVAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a05ec00b8>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = la_points.hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Bonus Distribution"
]
},
{
"cell_type": "code",
"execution_count": 25,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"count 27.000000\n",
"mean 1.666667\n",
"std 0.733799\n",
"min 0.000000\n",
"25% 2.000000\n",
"50% 2.000000\n",
"75% 2.000000\n",
"max 2.000000\n",
"Name: Bonus, dtype: float64"
]
},
"execution_count": 25,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"midterm_points['Bonus'].describe()"
]
},
{
"cell_type": "code",
"execution_count": 26,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeUAAAHfCAYAAABu0cCpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0a1f1278>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = midterm_points['Bonus'].hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Which problems were missed the most? i.e. which problems averaged less that 75% of the maximum?"
]
},
{
"cell_type": "code",
"execution_count": 27,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"Hrs 31.597222\n",
"TF9 33.333333\n",
"MC3 37.037037\n",
"TF1 46.296296\n",
"MC4 48.148148\n",
"SA3 53.333333\n",
"MC2 62.962963\n",
"TF2 70.370370\n",
"Beam Deflect 70.370370\n",
"Multiaxial 71.666667\n",
"MC5 74.074074\n",
"dtype: float64"
]
},
"execution_count": 27,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"percent_correct = midterm_points.mean() / midterm_points.max() * 100.0\n",
"percent_correct[percent_correct < 75.0].sort_values()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Do hours spent studying correlate to the total midterm grade?"
]
},
{
"cell_type": "code",
"execution_count": 28,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfkAAAHuCAYAAACcZXXHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\naZHGKJsvvQAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0601b0f0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"ax = midterm_points.plot(kind='scatter', x='Hrs', y='Total')"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Doesn't look like it, so further analysis will be skipped."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Final Exam"
]
},
{
"cell_type": "code",
"execution_count": 29,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"final_points = pd.read_csv('final-grades.csv')"
]
},
{
"cell_type": "code",
"execution_count": 30,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"# anonymize\n",
"if 'Name' in final_points:\n",
" del final_points['Name']\n",
"if 'ID' in midterm_points:\n",
" del final_points['ID']"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## What was the overall grade distribution?"
]
},
{
"cell_type": "code",
"execution_count": 31,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"count 27.000000\n",
"mean 76.740741\n",
"std 12.870935\n",
"min 46.000000\n",
"25% 71.000000\n",
"50% 77.000000\n",
"75% 84.500000\n",
"max 98.000000\n",
"Name: Total, dtype: float64"
]
},
"execution_count": 31,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"final_points['Total'].describe()"
]
},
{
"cell_type": "code",
"execution_count": 32,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHfCAYAAACI+AvtAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a05fe6dd8>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = final_points['Total'].hist(bins=8)"
]
},
{
"cell_type": "code",
"execution_count": 33,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfoAAAH4CAYAAACi3S9CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nM7OMOdGbmZllzInezMwsY070ZmZmGfsbt1rDyKVGVfAAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a06099a58>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = probplot(final_points['Total'], dist=\"norm\", plot=plt)"
]
},
{
"cell_type": "code",
"execution_count": 34,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>TF1</th>\n",
" <th>TF2</th>\n",
" <th>TF3</th>\n",
" <th>TF4</th>\n",
" <th>TF5</th>\n",
" <th>TF6</th>\n",
" <th>TF7</th>\n",
" <th>TF8</th>\n",
" <th>TF9</th>\n",
" <th>TF10</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>1.407407</td>\n",
" <td>1.851852</td>\n",
" <td>1.555556</td>\n",
" <td>1.481481</td>\n",
" <td>1.740741</td>\n",
" <td>0.888889</td>\n",
" <td>1.296296</td>\n",
" <td>0.888889</td>\n",
" <td>1.629630</td>\n",
" <td>1.185185</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>0.930643</td>\n",
" <td>0.533761</td>\n",
" <td>0.751068</td>\n",
" <td>0.893152</td>\n",
" <td>0.594371</td>\n",
" <td>1.012739</td>\n",
" <td>0.953327</td>\n",
" <td>1.012739</td>\n",
" <td>0.687702</td>\n",
" <td>1.001423</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>0.000000</td>\n",
" <td>2.000000</td>\n",
" <td>1.000000</td>\n",
" <td>1.000000</td>\n",
" <td>2.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>0.000000</td>\n",
" <td>1.500000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>0.000000</td>\n",
" <td>2.000000</td>\n",
" <td>0.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" TF1 TF2 TF3 TF4 TF5 TF6 \\\n",
"count 27.000000 27.000000 27.000000 27.000000 27.000000 27.000000 \n",
"mean 1.407407 1.851852 1.555556 1.481481 1.740741 0.888889 \n",
"std 0.930643 0.533761 0.751068 0.893152 0.594371 1.012739 \n",
"min 0.000000 0.000000 0.000000 0.000000 0.000000 0.000000 \n",
"25% 0.000000 2.000000 1.000000 1.000000 2.000000 0.000000 \n",
"50% 2.000000 2.000000 2.000000 2.000000 2.000000 0.000000 \n",
"75% 2.000000 2.000000 2.000000 2.000000 2.000000 2.000000 \n",
"max 2.000000 2.000000 2.000000 2.000000 2.000000 2.000000 \n",
"\n",
" TF7 TF8 TF9 TF10 \n",
"count 27.000000 27.000000 27.000000 27.000000 \n",
"mean 1.296296 0.888889 1.629630 1.185185 \n",
"std 0.953327 1.012739 0.687702 1.001423 \n",
"min 0.000000 0.000000 0.000000 0.000000 \n",
"25% 0.000000 0.000000 1.500000 0.000000 \n",
"50% 2.000000 0.000000 2.000000 2.000000 \n",
"75% 2.000000 2.000000 2.000000 2.000000 \n",
"max 2.000000 2.000000 2.000000 2.000000 "
]
},
"execution_count": 34,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"tf_points = final_points[[x for x in final_points.columns if x.startswith('TF')]]\n",
"tf_points.describe()"
]
},
{
"cell_type": "code",
"execution_count": 35,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>Castifliango</th>\n",
" <th>Buckling</th>\n",
" <th>Static</th>\n",
" <th>Fatigue</th>\n",
" <th>Bonus</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>count</th>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" <td>27.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>mean</th>\n",
" <td>14.444444</td>\n",
" <td>14.592593</td>\n",
" <td>15.259259</td>\n",
" <td>16.777778</td>\n",
" <td>1.740741</td>\n",
" </tr>\n",
" <tr>\n",
" <th>std</th>\n",
" <td>4.236593</td>\n",
" <td>4.012090</td>\n",
" <td>4.511461</td>\n",
" <td>3.343574</td>\n",
" <td>0.655896</td>\n",
" </tr>\n",
" <tr>\n",
" <th>min</th>\n",
" <td>6.000000</td>\n",
" <td>5.000000</td>\n",
" <td>3.000000</td>\n",
" <td>6.000000</td>\n",
" <td>0.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>25%</th>\n",
" <td>12.000000</td>\n",
" <td>14.000000</td>\n",
" <td>14.000000</td>\n",
" <td>15.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>50%</th>\n",
" <td>13.000000</td>\n",
" <td>14.000000</td>\n",
" <td>16.000000</td>\n",
" <td>17.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>75%</th>\n",
" <td>18.500000</td>\n",
" <td>17.500000</td>\n",
" <td>19.000000</td>\n",
" <td>20.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" <tr>\n",
" <th>max</th>\n",
" <td>20.000000</td>\n",
" <td>20.000000</td>\n",
" <td>20.000000</td>\n",
" <td>20.000000</td>\n",
" <td>2.000000</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>"
],
"text/plain": [
" Castifliango Buckling Static Fatigue Bonus\n",
"count 27.000000 27.000000 27.000000 27.000000 27.000000\n",
"mean 14.444444 14.592593 15.259259 16.777778 1.740741\n",
"std 4.236593 4.012090 4.511461 3.343574 0.655896\n",
"min 6.000000 5.000000 3.000000 6.000000 0.000000\n",
"25% 12.000000 14.000000 14.000000 15.000000 2.000000\n",
"50% 13.000000 14.000000 16.000000 17.000000 2.000000\n",
"75% 18.500000 17.500000 19.000000 20.000000 2.000000\n",
"max 20.000000 20.000000 20.000000 20.000000 2.000000"
]
},
"execution_count": 35,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"la_points = final_points[['Castifliango', 'Buckling', 'Static', 'Fatigue', 'Bonus']]\n",
"la_points.describe()"
]
},
{
"cell_type": "code",
"execution_count": 36,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeMAAAHpCAYAAACx7FReAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhBBC6LEoxiGEEEKPRTEOIYQQeuw/WR2tghtq2jUAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0658c8d0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = la_points.hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The students did better on the static and fatigue failure problems. This makes sense, as we spent much more time on those topics. I really didn't do Castigliano's thereom justice but we spent some more time on buckling."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Overall Grade"
]
},
{
"cell_type": "code",
"execution_count": 37,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"grades = pd.read_csv('smartsite-grades.csv')"
]
},
{
"cell_type": "code",
"execution_count": 38,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"count 27.000000\n",
"mean 84.398148\n",
"std 6.698009\n",
"min 64.200000\n",
"25% 80.290000\n",
"50% 84.370000\n",
"75% 88.375000\n",
"max 95.240000\n",
"Name: Calculated Grade, dtype: float64"
]
},
"execution_count": 38,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"grades['Calculated Grade'].describe()"
]
},
{
"cell_type": "code",
"execution_count": 39,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHfCAYAAACI+AvtAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a06043860>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = grades['Calculated Grade'].hist(bins=8)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The grades are fairly well normally distributed except for the lowest grade."
]
},
{
"cell_type": "code",
"execution_count": 40,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfoAAAH4CAYAAACi3S9CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ntAAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a064c14a8>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"axes = probplot(grades['Calculated Grade'], dist=\"norm\", plot=plt)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Piazza Correlations"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"I was curious if there was any correlation with the Piazza data to the course grade."
]
},
{
"cell_type": "code",
"execution_count": 41,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"piazza = pd.read_csv('piazza.csv')"
]
},
{
"cell_type": "code",
"execution_count": 42,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"piazza = piazza[piazza['role'] != 'Instructor']\n",
"piazza = piazza[piazza['days online'] >= 3]"
]
},
{
"cell_type": "code",
"execution_count": 43,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"piazza['Last Name'] = [x.split(' ')[-1] for x in piazza['name']]"
]
},
{
"cell_type": "code",
"execution_count": 44,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"grades['Last Name'] = [x.split(', ')[0] for x in grades['Student Name']]"
]
},
{
"cell_type": "code",
"execution_count": 45,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"all_dat = pd.merge(grades, piazza, on='Last Name', how='outer')\n",
"contributors = all_dat[all_dat['contributions'] != 0].copy()"
]
},
{
"cell_type": "code",
"execution_count": 46,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"contributors['grade'] = contributors['Calculated Grade']"
]
},
{
"cell_type": "code",
"execution_count": 47,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfkAAAHuCAYAAACcZXXHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nStsj6WBgtQAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0a127dd8>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"ax = contributors.plot(kind='scatter', x='contributions', y='Calculated Grade')"
]
},
{
"cell_type": "code",
"execution_count": 48,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfkAAAHuCAYAAACcZXXHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\npEIZ8pIkFer/A+10SrxqG6hVAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a06126710>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"ax = contributors.plot(kind='scatter', x='questions', y='Calculated Grade')"
]
},
{
"cell_type": "code",
"execution_count": 49,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfkAAAHuCAYAAACcZXXHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\na95XN/mkFgAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f1a0a18da90>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"ax = contributors.plot(kind='scatter', x='views', y='Calculated Grade')"
]
},
{
"cell_type": "code",
"execution_count": 50,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"/home/moorepants/miniconda3/lib/python3.5/site-packages/scipy/stats/stats.py:1285: UserWarning: kurtosistest only valid for n>=20 ... continuing anyway, n=19\n",
" \"anyway, n=%i\" % int(n))\n"
]
},
{
"data": {
"text/html": [
"<table class=\"simpletable\">\n",
"<caption>OLS Regression Results</caption>\n",
"<tr>\n",
" <th>Dep. Variable:</th> <td>grade</td> <th> R-squared: </th> <td> 0.109</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Model:</th> <td>OLS</td> <th> Adj. R-squared: </th> <td> -0.003</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Method:</th> <td>Least Squares</td> <th> F-statistic: </th> <td> 0.9757</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Date:</th> <td>Tue, 15 Dec 2015</td> <th> Prob (F-statistic):</th> <td> 0.398</td> \n",
"</tr>\n",
"<tr>\n",
" <th>Time:</th> <td>21:34:57</td> <th> Log-Likelihood: </th> <td> -57.586</td>\n",
"</tr>\n",
"<tr>\n",
" <th>No. Observations:</th> <td> 19</td> <th> AIC: </th> <td> 121.2</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Df Residuals:</th> <td> 16</td> <th> BIC: </th> <td> 124.0</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Df Model:</th> <td> 2</td> <th> </th> <td> </td> \n",
"</tr>\n",
"<tr>\n",
" <th>Covariance Type:</th> <td>nonrobust</td> <th> </th> <td> </td> \n",
"</tr>\n",
"</table>\n",
"<table class=\"simpletable\">\n",
"<tr>\n",
" <td></td> <th>coef</th> <th>std err</th> <th>t</th> <th>P>|t|</th> <th>[95.0% Conf. Int.]</th> \n",
"</tr>\n",
"<tr>\n",
" <th>Intercept</th> <td> 82.2398</td> <td> 3.129</td> <td> 26.281</td> <td> 0.000</td> <td> 75.606 88.874</td>\n",
"</tr>\n",
"<tr>\n",
" <th>views</th> <td> 0.0841</td> <td> 0.063</td> <td> 1.342</td> <td> 0.198</td> <td> -0.049 0.217</td>\n",
"</tr>\n",
"<tr>\n",
" <th>questions</th> <td> -0.1116</td> <td> 0.383</td> <td> -0.291</td> <td> 0.775</td> <td> -0.925 0.701</td>\n",
"</tr>\n",
"</table>\n",
"<table class=\"simpletable\">\n",
"<tr>\n",
" <th>Omnibus:</th> <td> 2.246</td> <th> Durbin-Watson: </th> <td> 2.247</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Prob(Omnibus):</th> <td> 0.325</td> <th> Jarque-Bera (JB): </th> <td> 1.065</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Skew:</th> <td>-0.005</td> <th> Prob(JB): </th> <td> 0.587</td>\n",
"</tr>\n",
"<tr>\n",
" <th>Kurtosis:</th> <td> 1.840</td> <th> Cond. No. </th> <td> 141.</td>\n",
"</tr>\n",
"</table>"
],
"text/plain": [
"<class 'statsmodels.iolib.summary.Summary'>\n",
"\"\"\"\n",
" OLS Regression Results \n",
"==============================================================================\n",
"Dep. Variable: grade R-squared: 0.109\n",
"Model: OLS Adj. R-squared: -0.003\n",
"Method: Least Squares F-statistic: 0.9757\n",
"Date: Tue, 15 Dec 2015 Prob (F-statistic): 0.398\n",
"Time: 21:34:57 Log-Likelihood: -57.586\n",
"No. Observations: 19 AIC: 121.2\n",
"Df Residuals: 16 BIC: 124.0\n",
"Df Model: 2 \n",
"Covariance Type: nonrobust \n",
"==============================================================================\n",
" coef std err t P>|t| [95.0% Conf. Int.]\n",
"------------------------------------------------------------------------------\n",
"Intercept 82.2398 3.129 26.281 0.000 75.606 88.874\n",
"views 0.0841 0.063 1.342 0.198 -0.049 0.217\n",
"questions -0.1116 0.383 -0.291 0.775 -0.925 0.701\n",
"==============================================================================\n",
"Omnibus: 2.246 Durbin-Watson: 2.247\n",
"Prob(Omnibus): 0.325 Jarque-Bera (JB): 1.065\n",
"Skew: -0.005 Prob(JB): 0.587\n",
"Kurtosis: 1.840 Cond. No. 141.\n",
"==============================================================================\n",
"\n",
"Warnings:\n",
"[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n",
"\"\"\""
]
},
"execution_count": 50,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"model = sm.OLS.from_formula('grade ~ views + questions', contributors)\n",
"res = model.fit()\n",
"res.summary()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"There doesn't seem to be any simple correlations between the Piazza stats and the course grades."
]
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 3",
"language": "python",
"name": "python3"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.5.1"
}
},
"nbformat": 4,
"nbformat_minor": 0
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment