Skip to content

Instantly share code, notes, and snippets.

@mwkmwkmwk
Created June 11, 2021 10:16
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save mwkmwkmwk/2a77e94f1194ebfe9822394899c64f63 to your computer and use it in GitHub Desktop.
Save mwkmwkmwk/2a77e94f1194ebfe9822394899c64f63 to your computer and use it in GitHub Desktop.
read_verilog -icells << EOT
module top(input I, output O);
$pmux #(.WIDTH(1), .S_WIDTH(2)) m (.S({I, 1'b0}), .A(1'b0), .B({I, 1'b0}), .Y(O));
endmodule
EOT
equiv_opt -assert opt_muxtree
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment