Skip to content

Instantly share code, notes, and snippets.

@mwkmwkmwk
Created December 15, 2019 11:35
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save mwkmwkmwk/52f7c8c96e8da0d6e2782657fad011c3 to your computer and use it in GitHub Desktop.
Save mwkmwkmwk/52f7c8c96e8da0d6e2782657fad011c3 to your computer and use it in GitHub Desktop.
always @(posedge clk) begin
if (rden) begin
bypass_flag <= wren && (rdaddr == wraddr);
bypass_data <= wrdata;
old_data <= mem[rdaddr];
end
if (wren)
mem[wraddr] <= wrdata;
end
assign rddata = bypass_flag ? bypass_data : old_data;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment