Skip to content

Instantly share code, notes, and snippets.

@mxey
Created February 26, 2010 20:37
Show Gist options
  • Save mxey/316124 to your computer and use it in GitHub Desktop.
Save mxey/316124 to your computer and use it in GitHub Desktop.
24159 execve("./bin/rbx", ["./bin/rbx"], [/* 40 vars */]) = 0
24159 brk(0) = 0x2402000
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0265000
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 mmap(NULL, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0263000
24159 access("/etc/ld.so.preload", R_OK) = -1 ENOENT (No such file or directory)
24159 open("/etc/ld.so.cache", O_RDONLY) = 3
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=73692, ...}) = 0
24159 mmap(NULL, 73692, PROT_READ, MAP_PRIVATE, 3, 0) = 0x7f12b0251000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libpthread.so.0", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320X\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0755, st_size=130841, ...}) = 0
24159 mmap(NULL, 2208640, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12afe2e000
24159 mprotect(0x7f12afe44000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12b0044000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x16000) = 0x7f12b0044000
24159 mmap(0x7f12b0046000, 13184, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7f12b0046000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/usr/lib/libffi.so.5", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\0\32\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=31792, ...}) = 0
24159 mmap(NULL, 2128080, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12afc26000
24159 mprotect(0x7f12afc2d000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12afe2d000, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x7000) = 0x7f12afe2d000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libdl.so.2", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\340\r\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=14696, ...}) = 0
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0250000
24159 mmap(NULL, 2109696, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12afa22000
24159 mprotect(0x7f12afa24000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12afc24000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x2000) = 0x7f12afc24000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/librt.so.1", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\220!\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=31744, ...}) = 0
24159 mmap(NULL, 2128848, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12af81a000
24159 mprotect(0x7f12af821000, 2093056, PROT_NONE) = 0
24159 mmap(0x7f12afa20000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x6000) = 0x7f12afa20000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libcrypt.so.1", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0P\n\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=35104, ...}) = 0
24159 mmap(NULL, 2318784, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12af5e3000
24159 mprotect(0x7f12af5eb000, 2093056, PROT_NONE) = 0
24159 mmap(0x7f12af7ea000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x7000) = 0x7f12af7ea000
24159 mmap(0x7f12af7ec000, 184768, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7f12af7ec000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/usr/lib/libstdc++.so.6", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\240\243\5\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=1027624, ...}) = 0
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b024f000
24159 mmap(NULL, 3207224, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12af2d3000
24159 mprotect(0x7f12af3c5000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12af5c5000, 36864, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0xf2000) = 0x7f12af5c5000
24159 mmap(0x7f12af5ce000, 81976, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7f12af5ce000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libm.so.6", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0p>\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=530728, ...}) = 0
24159 mmap(NULL, 2625752, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12af051000
24159 mprotect(0x7f12af0d2000, 2093056, PROT_NONE) = 0
24159 mmap(0x7f12af2d1000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x80000) = 0x7f12af2d1000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libgcc_s.so.1", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0P-\0\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0644, st_size=90144, ...}) = 0
24159 mmap(NULL, 2185880, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12aee3b000
24159 mprotect(0x7f12aee51000, 2093056, PROT_NONE) = 0
24159 mmap(0x7f12af050000, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x15000) = 0x7f12af050000
24159 close(3) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libc.so.6", O_RDONLY) = 3
24159 read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320\353\1\0\0\0\0\0"..., 832) = 832
24159 fstat(3, {st_mode=S_IFREG|0755, st_size=1379752, ...}) = 0
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b024e000
24159 mmap(NULL, 3487784, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 3, 0) = 0x7f12aeae7000
24159 mprotect(0x7f12aec31000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12aee31000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 3, 0x14a000) = 0x7f12aee31000
24159 mmap(0x7f12aee36000, 18472, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7f12aee36000
24159 close(3) = 0
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b024d000
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b024c000
24159 arch_prctl(ARCH_SET_FS, 0x7f12b024c710) = 0
24159 mprotect(0x7f12aee31000, 16384, PROT_READ) = 0
24159 mprotect(0x7f12af2d1000, 4096, PROT_READ) = 0
24159 mprotect(0x7f12af5c5000, 28672, PROT_READ) = 0
24159 mprotect(0x7f12af7ea000, 4096, PROT_READ) = 0
24159 mprotect(0x7f12afa20000, 4096, PROT_READ) = 0
24159 mprotect(0x7f12afc24000, 4096, PROT_READ) = 0
24159 mprotect(0x7f12b0044000, 4096, PROT_READ) = 0
24159 mprotect(0x7f12b0266000, 4096, PROT_READ) = 0
24159 munmap(0x7f12b0251000, 73692) = 0
24159 set_tid_address(0x7f12b024c7e0) = 24159
24159 set_robust_list(0x7f12b024c7f0, 0x18) = 0
24159 futex(0x7fffa6bee99c, FUTEX_WAKE_PRIVATE, 1) = 0
24159 futex(0x7fffa6bee99c, FUTEX_WAIT_BITSET_PRIVATE|FUTEX_CLOCK_REALTIME, 1, NULL, 7f12b024c710) = -1 EAGAIN (Resource temporarily unavailable)
24159 rt_sigaction(SIGRTMIN, {0x7f12afe33750, [], SA_RESTORER|SA_SIGINFO, 0x7f12afe3c990}, NULL, 8) = 0
24159 rt_sigaction(SIGRT_1, {0x7f12afe337e0, [], SA_RESTORER|SA_RESTART|SA_SIGINFO, 0x7f12afe3c990}, NULL, 8) = 0
24159 rt_sigprocmask(SIG_UNBLOCK, [RTMIN RT_1], NULL, 8) = 0
24159 getrlimit(RLIMIT_STACK, {rlim_cur=8192*1024, rlim_max=RLIM_INFINITY}) = 0
24159 brk(0) = 0x2402000
24159 brk(0x2423000) = 0x2423000
24159 futex(0x7f12af5ceb88, FUTEX_WAKE_PRIVATE, 2147483647) = 0
24159 getrlimit(RLIMIT_STACK, {rlim_cur=8192*1024, rlim_max=RLIM_INFINITY}) = 0
24159 mmap(NULL, 167936, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0223000
24159 stat("/home/mxey/build/rubinius/runtime", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform.conf", O_RDONLY) = 3
24159 read(3, "rbx.platform.addrinfo.sizeof = 4"..., 8191) = 8191
24159 read(3, "orm.socket.IPPROTO_IDP = 22\nrbx."..., 8191) = 8191
24159 brk(0x2444000) = 0x2444000
24159 read(3, "_t = ushort\nrbx.platform.typedef"..., 8191) = 3510
24159 read(3, "", 8191) = 0
24159 close(3) = 0
24159 mmap(NULL, 3149824, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12ae7e6000
24159 mmap(NULL, 1576960, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12ae665000
24159 mmap(NULL, 1576960, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12ae4e4000
24159 mmap(NULL, 10485760, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12adae4000
24159 munmap(0x7f12adae4000, 10485760) = 0
24159 mmap(NULL, 10518528, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12adadc000
24159 brk(0x2465000) = 0x2465000
24159 brk(0x2486000) = 0x2486000
24159 fcntl(0, F_GETFL) = 0x8002 (flags O_RDWR|O_LARGEFILE)
24159 fcntl(1, F_GETFL) = 0x8002 (flags O_RDWR|O_LARGEFILE)
24159 brk(0x24a9000) = 0x24a9000
24159 fcntl(2, F_GETFL) = 0x8002 (flags O_RDWR|O_LARGEFILE)
24159 open("/home/mxey/build/rubinius/runtime/index", O_RDONLY) = 3
24159 open("/home/mxey/build/rubinius/runtime/signature", O_RDONLY) = 4
24159 read(4, "1214565122717296628\n", 8191) = 20
24159 close(4) = 0
24159 open("/home/mxey/build/rubinius/runtime/alpha.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "\n0\n44\n45\n3\n60\n2\n45\n4\n35\n5\n14\n18\n"..., 8191) = 8191
24159 brk(0x24ca000) = 0x24ca000
24159 read(4, "3\n@method_table\nx\n4\nto_s\ns\n1\n=\nx"..., 8191) = 6678
24159 close(4) = 0
24159 read(3, "bootstrap\nplatform\ncommon\ndelta\n", 8191) = 32
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/load_order.txt", O_RDONLY) = 4
24159 read(4, "alias.rbc\narray.rbc\nbignum.rbc\nb"..., 8191) = 494
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/alias.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 795
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/array.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8175
24159 brk(0x24ec000) = 0x24ec000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/bignum.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7715
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/block_environment.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2642
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/bytearray.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5150
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/channel.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3570
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/class.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1808
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/compactlookuptable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3143
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/compiled_method.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2342
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/configuration.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2450
24159 brk(0x250d000) = 0x250d000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/dir.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1843
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/exception.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 666
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/executable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1473
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/false.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1092
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/fixnum.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "n\np\n1\nx\n10\nbased_to_s\np\n5\nI\n0\nI\n"..., 8191) = 521
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/gc.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2649
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/io.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7521
24159 brk(0x252e000) = 0x252e000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/kernel.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 4747
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/lookuptable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7644
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/methodtable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6490
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/nil.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2010
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/object.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 983
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/proc.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1506
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/process.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1567
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/regexp.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3771
24159 brk(0x254f000) = 0x254f000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/rubinius.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6036
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/selector.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 990
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/sendsite.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2804
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/static_scope.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2512
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/string.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 4966
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/symbol.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1791
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/taskprobe.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1590
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/thread.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3196
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/time.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2809
24159 brk(0x2570000) = 0x2570000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/true.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1321
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/tuple.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8082
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/type.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 613
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/variable_scope.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1714
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/vm.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5601
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/bootstrap/weakref.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2049
24159 close(5) = 0
24159 read(4, "", 8191) = 0
24159 close(4) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/load_order.txt", O_RDONLY) = 4
24159 read(4, "ffi.rbc\nlibrary.rbc\nenv.rbc\nfile"..., 8191) = 85
24159 open("/home/mxey/build/rubinius/runtime/platform/ffi.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6157
24159 brk(0x2591000) = 0x2591000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/library.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 4442
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/env.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1070
24159 futex(0x7f12afc250ec, FUTEX_WAKE_PRIVATE, 2147483647) = 0
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/file.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3005
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/math.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2086
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/memorypointer.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x25b2000) = 0x25b2000
24159 read(5, "gth\nM\n1\nn\nx\n26\nmemorypointer_wri"..., 8191) = 6494
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/posix.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6826
24159 brk(0x25d4000) = 0x25d4000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/platform/struct.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5599
24159 close(5) = 0
24159 read(4, "", 8191) = 0
24159 close(4) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/load_order.txt", O_RDONLY) = 4
24159 read(4, "ar.rbc\nobject.rbc\nclass.rbc\nbind"..., 8191) = 970
24159 open("/home/mxey/build/rubinius/runtime/common/ar.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6642
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/object.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 655
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/class.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3075
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/binding.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1541
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/proc.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6545
24159 brk(0x25f5000) = 0x25f5000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/autoload.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3898
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/module.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\n18\n1\n42\n0\n10\n46\n2\n1\n9\n92\n18\n1\n4"..., 8191) = 8191
24159 brk(0x2616000) = 0x2616000
24159 read(5, "kernel/common/module.rb\np\n1\nx\n5\n"..., 8191) = 8191
24159 read(5, "d?\ni\n114\n18\n0\n75\n77\n46\n0\n2\n7\n1\n6"..., 8191) = 8191
24159 read(5, "4\n46\n15\n1\n11\nI\n7\nI\n4\nI\n1\nI\n2\nn\np"..., 8191) = 2931
24159 brk(0x263a000) = 0x263a000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/enumerable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "rt_by\nM\n1\nn\nn\nx\n7\nsort_by\ni\n41\n9"..., 8191) = 8191
24159 read(5, "\np\n5\nx\n4\nfind\nx\n7\nto_enum\nM\n1\nn\n"..., 8191) = 8191
24159 read(5, "\n2f1\nI\n10\nx\n27\nkernel/common/enu"..., 8191) = 6808
24159 brk(0x265b000) = 0x265b000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/enumerator.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3944
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/argf.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "9\n7\n17\n2\n8\n116\n36\n8\n9\n97\n1\n8\n121"..., 8191) = 4186
24159 brk(0x267c000) = 0x267c000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/tuple.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7181
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/exception.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "9b\nI\n0\nI\n9c\nI\nb\nI\n9d\nI\nf\nI\n9e\nI\n"..., 8191) = 6356
24159 brk(0x269d000) = 0x269d000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/undefined.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 700
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/hash.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\n12\nI\n86\nI\n2f\nx\n21\nkernel/common"..., 8191) = 8191
24159 read(5, "spect\nM\n1\nn\nn\nx\n7\ninspect\ni\n42\n3"..., 8191) = 8191
24159 brk(0x26be000) = 0x26be000
24159 read(5, "l/common/hash.rb\np\n3\nx\n8\ncapacit"..., 8191) = 2976
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/type.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3011
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/kernel.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "Integer\nn\nx\n4\nto_i\nx\n9\ncoerce_to"..., 8191) = 8191
24159 brk(0x26df000) = 0x26df000
24159 read(5, "\n14\nx\n4\nto_i\nx\n3\nabs\nx\n3\nFFI\nn\nx"..., 8191) = 8191
24159 read(5, "ariables\ni\n19\n32\n0\n17\n0\n14\n5\n44\n"..., 8191) = 8191
24159 read(5, "\np\n1\nx\n4\nname\nn\nx\n14\nset_trace_f"..., 8191) = 8191
24159 brk(0x2700000) = 0x2700000
24159 read(5, "35b\nI\n789\nI\n35c\nI\n791\nx\n23\nkerne"..., 8191) = 1364
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/identitymap.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6637
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/array.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2722000) = 0x2722000
24159 read(5, "I\n75\nI\nee\nI\n76\nI\n10f\nI\n77\nI\n11c\n"..., 8191) = 8191
24159 read(5, "\np\n15\nI\n0\nI\n15f\nI\n0\nI\n160\nI\n17\nI"..., 8191) = 8191
24159 brk(0x2743000) = 0x2743000
24159 read(5, "\n0\nI\n0\nI\n-2\np\n6\nx\n7\nto_iter\nx\n4\n"..., 8191) = 8191
24159 read(5, "45\n14\n7\n15\n60\n2\n44\n46\n16\n2\n8\n88\n"..., 8191) = 8191
24159 read(5, "\nI\n2a\nI\n441\nI\n32\nI\n443\nI\n35\nx\n22"..., 8191) = 8191
24159 brk(0x2764000) = 0x2764000
24159 read(5, "p\n5\nI\n0\nI\n537\nI\n0\nI\n538\nI\n4\nx\n22"..., 8191) = 8191
24159 read(5, "\n2\n14\n18\n1\n76\n79\n2\n18\n2\n15\n2\n17\n"..., 8191) = 8191
24159 brk(0x2785000) = 0x2785000
24159 read(5, "\n8\n1\n11\nI\n9\nI\n5\nI\n3\nI\n3\nn\np\n9\nx\n"..., 8191) = 7122
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/loadedfeatures.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3250
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/global.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6113
24159 brk(0x27a6000) = 0x27a6000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/backtrace.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "variable_set\np\n11\nI\n0\nI\nae\nI\n0\nI"..., 8191) = 873
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/comparable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3537
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/numeric.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x27c7000) = 0x27c7000
24159 read(5, "I\n4d\nI\nb4\nI\n59\nI\nb7\nI\n69\nx\n24\nke"..., 8191) = 1802
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/ctype.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2861
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/precision.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1522
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/integer.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7514
24159 brk(0x27e8000) = 0x27e8000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/bignum.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1955
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/block_environment.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 4059
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/bytearray.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2003
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/channel.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 996
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/executable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1130
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/static_scope.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5948
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/compiled_method.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "4\npath\nx\n8\nfor_eval\nx\n17\nmethod_"..., 8191) = 8191
24159 brk(0x2809000) = 0x2809000
24159 read(5, "\nI\n0\nI\n0\nI\n0\nn\np\n7\nx\n14\n@require"..., 8191) = 4048
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/continuation.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1440
24159 brk(0x282a000) = 0x282a000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/delegated_method.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1986
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/fixnum.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2389
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/dir.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "x\n5\nmagic\nx\n3\n===\nx\n9\nmatch_all\n"..., 8191) = 8191
24159 read(5, "\n1\n46\n10\n1\n17\n2\n14\n18\n2\n75\n80\n11"..., 8191) = 5187
24159 brk(0x284b000) = 0x284b000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/dir_glob.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "0\nx\n25\nkernel/common/dir_glob.rb"..., 8191) = 4671
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/dtrace.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 965
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/env.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "1\nI\n1\nI\n0\nI\n0\nI\n0\nn\np\n0\np\n5\nI\n0\n"..., 8191) = 3032
24159 brk(0x286c000) = 0x286c000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/errno.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 908
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/eval.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "Value\nn\nx\n10\nCompilerNG\nx\n12\ncom"..., 8191) = 795
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/false.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 558
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/fiber.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2032
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/io.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "8\n0\n36\n2\n36\n3\n79\n4\n42\n5\n6\n40\n7\n4"..., 8191) = 8191
24159 brk(0x288d000) = 0x288d000
24159 read(5, "\n9\n38\n18\n0\n45\n3\n8\n46\n18\n0\n19\n1\n1"..., 8191) = 8191
24159 read(5, "ce\nI\n23\nx\n19\nkernel/common/io.rb"..., 8191) = 8191
24159 brk(0x28ae000) = 0x28ae000
24159 read(5, "29\nI\n4\nI\n42a\nI\nc\nx\n19\nkernel/com"..., 8191) = 8191
24159 read(5, "h\nx\n8\n@ibuffer\nx\n6\nempty?\nx\n7\nIO"..., 8191) = 8191
24159 read(5, "5\nI\n691\nI\n18\nx\n19\nkernel/common/"..., 8191) = 461
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/file.rbc", O_RDONLY) = 5
24159 brk(0x28d0000) = 0x28d0000
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "i\n239\n21\n1\n10\n8\n88\n17\n1\n14\n5\n18\n"..., 8191) = 8191
24159 read(5, "9\n14\n42\n2\n3\n18\n2\n42\n4\n5\n7\n6\n46\n7"..., 8191) = 8191
24159 read(5, "\n45\n0\n46\n1\n1\n11\nI\n5\nI\n1\nI\n1\nI\n1\n"..., 8191) = 8191
24159 brk(0x28f1000) = 0x28f1000
24159 read(5, "no\nn\nx\n6\nEINVAL\ns\n42\nCan't trunc"..., 8191) = 8191
24159 read(5, "\n5\nPOSIX\nn\nx\n4\npath\nx\n7\npointer\n"..., 8191) = 8191
24159 brk(0x2912000) = 0x2912000
24159 read(5, "\n2\nI\n0\nI\n0\nI\n0\nn\np\n9\nx\n5\n@stat\nx"..., 8191) = 7076
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/filetest.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5774
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/float.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2933000) = 0x2933000
24159 read(5, "+-]\\d*)\nx\n3\nnew\ns\n4\n\\1\\3\nx\n4\ngsu"..., 8191) = 1967
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/immediate.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3987
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/location.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5114
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/lookuptable.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1655
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/main.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2326
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/marshal.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2954000) = 0x2954000
24159 read(5, "sibility\nx\n15\nadd_defn_method\np\n"..., 8191) = 8191
24159 read(5, "_CLASS\nx\n11\nTYPE_MODULE\nx\n11\nTYP"..., 8191) = 8191
24159 read(5, "\n76\n17\n0\n14\n5\n44\n45\n2\n77\n46\n3\n1\n"..., 8191) = 8191
24159 brk(0x2976000) = 0x2976000
24159 read(5, "7\nI\n24c\nI\n12\nI\n24e\nI\n19\nI\n250\nI\n"..., 8191) = 8191
24159 read(5, "\n13\n9\n59\n14\n18\n0\n7\n5\n82\n2\n9\n77\n7"..., 8191) = 6887
24159 brk(0x2998000) = 0x2998000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/math.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\n44\n46\n0\n1\n17\n0\n14\n5\n7\n1\n61\n53\n2"..., 8191) = 3706
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/method.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\nI\nf0\nI\n15\nI\nf1\nI\n1d\nI\nf2\nI\n27\nI"..., 8191) = 694
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/method_table.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2199
24159 brk(0x29b9000) = 0x29b9000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/moment.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "mmon/moment.rb\np\n0\nn\nx\n9\ntime_zo"..., 8191) = 3941
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/native_method.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2512
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/nil.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 493
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/objectspace.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3765
24159 brk(0x29da000) = 0x29da000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/string.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\nkind_of?\nx\n7\nInteger\nn\nx\n5\nis_a"..., 8191) = 8191
24159 read(5, "11\n8\n194\n1\n14\n18\n1\n75\n81\n3\n9\n206"..., 8191) = 8191
24159 brk(0x29fb000) = 0x29fb000
24159 read(5, "18\n7\n57\n1\n14\n36\n10\n18\n3\n46\n19\n1\n"..., 8191) = 8191
24159 read(5, "6\n5\n3\n17\n1\n14\n18\n1\n75\n81\n6\n9\n41\n"..., 8191) = 8191
24159 read(5, "18\n0\n45\n10\n78\n11\n17\n3\n14\n5\n44\n45"..., 8191) = 8191
24159 brk(0x2a1c000) = 0x2a1c000
24159 read(5, "string\nM\n1\nn\nn\nx\n15\nsplit_on_str"..., 8191) = 8191
24159 read(5, "on/string.rb\np\n1\nx\n3\nstr\nn\nx\n9\ns"..., 8191) = 8191
24159 brk(0x2a3d000) = 0x2a3d000
24159 read(5, "9\n395\n18\n0\n13\n77\n12\n46\n34\n1\n9\n27"..., 8191) = 8191
24159 read(5, "1\n46\n6\n1\n17\n3\n14\n18\n2\n18\n1\n46\n7\n"..., 8191) = 8191
24159 brk(0x2a5e000) = 0x2a5e000
24159 read(5, "\nI\n8bc\nI\n5b8\nI\n913\nI\n5c7\nI\n918\nI"..., 8191) = 327
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/range.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7490
24159 brk(0x2a82000) = 0x2a82000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/rubinius.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1366
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/pack.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\nuuencode\nn\nn\ns\n1\n \ns\n1\n`\nx\n7\nde"..., 8191) = 8191
24159 read(5, "\n18\n1\n46\n19\n1\n11\nI\n7\nI\n2\nI\n1\nI\n1"..., 8191) = 8191
24159 brk(0x2aa3000) = 0x2aa3000
24159 read(5, "\n2\nx\n1\n+\nx\n1\n<\np\n7\nI\n0\nI\n1a9\nI\n4"..., 8191) = 8191
24159 read(5, "\nn\nn\nx\n9\n__block__\ni\n19\n54\n17\n0\n"..., 8191) = 8191
24159 read(5, " 0\nd\n +0.0000000000000000000000"..., 8191) = 8191
24159 brk(0x2ac4000) = 0x2ac4000
24159 read(5, "2\n20\n1\n0\n8\n735\n18\n1\n19\n1\n2\n82\n43"..., 8191) = 1782
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/struct.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "18\n0\n44\n45\n1\n60\n2\n44\n46\n2\n1\n19\n2"..., 8191) = 4558
24159 brk(0x2ae5000) = 0x2ae5000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/process.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "211\n13\n74\n12\n46\n22\n1\n9\n209\n14\n42"..., 8191) = 8191
24159 read(5, "\nx\n2\n==\nx\n13\nwait_pid_prim\nx\n4\nn"..., 8191) = 8191
24159 brk(0x2b13000) = 0x2b13000
24159 read(5, "\n5\nErrno\nn\nx\n6\nhandle\np\n9\nI\n0\nI\n"..., 8191) = 8191
24159 read(5, "n\nx\n6\nhandle\np\n9\nI\n0\nI\n23e\nI\n0\nI"..., 8191) = 4520
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/regexp.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "5\n10\n1\n13\n16\n2\n46\n7\n1\n14\n14\n3\n11"..., 8191) = 8191
24159 brk(0x2b34000) = 0x2b34000
24159 read(5, "eadGroupPart\ni\n17\n5\n63\n42\n0\n1\n7\n"..., 8191) = 8191
24159 read(5, "7b\nI\ne7\nI\n180\nI\nf6\nI\n18a\nI\n105\nI"..., 8191) = 8191
24159 brk(0x2b55000) = 0x2b55000
24159 read(5, "5\n4\n7\n11\n60\n5\n11\nI\n7\nI\n2\nI\n0\nI\n0"..., 8191) = 3214
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/selector.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1616
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/signal.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2467
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/sprintf.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2b78000) = 0x2b78000
24159 read(5, "1\n46\n2\n1\n9\n80\n42\n3\n4\n13\n68\n5\n47\n"..., 8191) = 8191
24159 read(5, "\np\n7\nI\n0\nI\n103\nI\n0\nI\n104\nI\na\nI\n1"..., 8191) = 4894
24159 brk(0x2b99000) = 0x2b99000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/symbol.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2169
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/taskprobe.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2609
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/thread.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\nx\n4\njoin\ni\n18\n21\n0\n10\n8\n88\n17\n0"..., 8191) = 8191
24159 read(5, "\n4\n16\n7\n17\n7\n18\n62\n64\n45\n8\n46\n9\n"..., 8191) = 1874
24159 brk(0x2bba000) = 0x2bba000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/thread_group.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3026
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/throw_catch.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3192
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/time.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "17\n4\n14\n5\n18\n1\n77\n46\n3\n1\n44\n46\n2"..., 8191) = 8191
24159 brk(0x2bdb000) = 0x2bdb000
24159 read(5, "is_gmt\nx\n11\n@decomposed\np\n11\nI\n0"..., 8191) = 2874
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/true.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 507
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/variable_scope.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 4529
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/common/vm.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2873
24159 close(5) = 0
24159 read(4, "", 8191) = 0
24159 close(4) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/load_order.txt", O_RDONLY) = 4
24159 read(4, "ar.rbc\nbreakpoint.rbc\nctype.rbc\n"..., 8191) = 290
24159 open("/home/mxey/build/rubinius/runtime/delta/ar.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 425
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/breakpoint.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "2\n1\n14\n42\n17\n23\n18\n2\n45\n4\n46\n22\n"..., 8191) = 8191
24159 brk(0x2bfc000) = 0x2bfc000
24159 read(5, "turn\nx\n8\n@context\nx\n6\nsender\nx\n5"..., 8191) = 8191
24159 read(5, "\n45\n1\n35\n2\n9\n169\n36\n2\n45\n3\n17\n0\n"..., 8191) = 5197
24159 brk(0x2c28000) = 0x2c28000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/ctype.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 2450
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/class.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 359
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/exception.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 822
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/file.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1162
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/io.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 677
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/ruby_constants.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 710
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/rubinius.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "18\n0\n7\n8\n61\n46\n5\n1\n8\n44\n1\n8\n47\n1"..., 8191) = 2089
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/module.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2c49000) = 0x2c49000
24159 read(5, "9\nx\n8\nRubinius\nn\nx\n13\nVariableSc"..., 8191) = 448
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/filetest.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1084
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/gem_prelude.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "gem_prelude.rb\np\n0\nn\nx\n19\ndefaul"..., 8191) = 8191
24159 read(5, "\n1\n8\n118\n42\n0\n12\n42\n0\n13\n18\n0\n7\n"..., 8191) = 2838
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/kernel.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7865
24159 brk(0x2c6a000) = 0x2c6a000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/math.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1393
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/numeric.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1430
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/objectspace.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 215
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/options.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\n17\n18\n22\n46\n19\n1\n10\n281\n8\n309\n2"..., 8191) = 2901
24159 brk(0x2c8b000) = 0x2c8b000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/stats.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "x\n4\ninfo\ni\n12\n5\n42\n0\n1\n7\n2\n61\n44"..., 8191) = 5441
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/profiler.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2cac000) = 0x2cac000
24159 read(5, "ta/profiler.rb\np\n1\nx\n1\nd\nx\n10\nso"..., 8191) = 8191
24159 read(5, "4\nkernel/delta/profiler.rb\np\n2\nx"..., 8191) = 8191
24159 read(5, "x\n9\n__block__\ni\n27\n55\n33\n34\n17\n0"..., 8191) = 6940
24159 brk(0x2ccd000) = 0x2ccd000
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/signal.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 915
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/static_scope.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 958
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/struct.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 599
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/thread.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 764
24159 close(5) = 0
24159 open("/home/mxey/build/rubinius/runtime/delta/requirer.rbc", O_RDONLY) = 5
24159 read(5, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(5, "\n17\n7\n14\n18\n7\n13\n9\n71\n14\n18\n7\n45"..., 8191) = 8191
24159 brk(0x2cf0000) = 0x2cf0000
24159 read(5, "bc\nx\n8\nRequirer\nn\nx\n5\nUtils\ns\n0\n"..., 8191) = 1609
24159 close(5) = 0
24159 read(4, "", 8191) = 0
24159 close(4) = 0
24159 read(3, "", 8191) = 0
24159 close(3) = 0
24159 mmap(NULL, 8392704, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS|MAP_STACK, -1, 0) = 0x7f12ad2db000
24159 mprotect(0x7f12ad2db000, 4096, PROT_NONE) = 0
24159 clone(child_stack=0x7f12adadb1f0, flags=CLONE_VM|CLONE_FS|CLONE_FILES|CLONE_SIGHAND|CLONE_THREAD|CLONE_SYSVSEM|CLONE_SETTLS|CLONE_PARENT_SETTID|CLONE_CHILD_CLEARTID, parent_tidptr=0x7f12adadb9e0, tls=0x7f12adadb910, child_tidptr=0x7f12adadb9e0) = 24160
24159 rt_sigaction(SIGPWR, {0x5fc690, ~[RTMIN RT_1], SA_RESTORER|SA_RESTART, 0x7f12afe3c990}, <unfinished ...>
24160 set_robust_list(0x7f12adadb9f0, 0x18) = 0
24159 <... rt_sigaction resumed> NULL, 8) = 0
24160 rt_sigprocmask(SIG_SETMASK, ~[RTMIN RT_1], <unfinished ...>
24159 rt_sigaction(SIGPIPE, {SIG_IGN, [PIPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, <unfinished ...>
24160 <... rt_sigprocmask resumed> NULL, 8) = 0
24159 <... rt_sigaction resumed> {SIG_DFL, [], 0}, 8) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 rt_sigaction(SIGSEGV, {0x5fcb40, [SEGV], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGBUS, {0x5fcb40, [BUS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGILL, {0x5fcb40, [ILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGFPE, {0x5fcb40, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGABRT, {0x5fcb40, [ABRT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 futex(0x7f12aee39580, FUTEX_WAKE_PRIVATE, 2147483647) = 0
24159 futex(0x7f12af050830, FUTEX_WAKE_PRIVATE, 2147483647) = 0
24159 rt_sigaction(SIGHUP, {0x5fca50, [HUP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGTERM, {0x5fca50, [TERM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGUSR1, {0x5fca50, [USR1], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGUSR2, {0x5fca50, [USR2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24159 open("/home/mxey/build/rubinius/runtime/loader.rbc", O_RDONLY) = 3
24159 read(3, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(3, "n: \nx\n4\nto_s\nx\n5\nraise\nx\n7\n@scri"..., 8191) = 8191
24159 read(3, "1b\nI\n0\nI\n11c\nI\n9\nx\n16\nkernel/loa"..., 8191) = 8191
24159 read(3, "9\nLoadError\nn\nx\n3\n===\nx\n6\nSTDERR"..., 8191) = 3646
24159 brk(0x2d11000) = 0x2d11000
24159 pipe([4, 5]) = 0
24159 fcntl(4, F_GETFL) = 0 (flags O_RDONLY)
24159 fcntl(5, F_GETFL) = 0x1 (flags O_WRONLY)
24159 clone(child_stack=0, flags=CLONE_CHILD_CLEARTID|CLONE_CHILD_SETTID|SIGCHLD, child_tidptr=0x7f12b024c7e0) = 24161
24159 close(5) = 0
24161 close(4) = 0
24159 read(4, <unfinished ...>
24161 dup2(5, 1) = 1
24161 fcntl(1, F_GETFL) = 0x1 (flags O_WRONLY)
24161 rt_sigaction(SIGHUP, {SIG_DFL, [HUP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [HUP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGINT, {SIG_DFL, [INT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGQUIT, {SIG_DFL, [QUIT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGILL, {SIG_DFL, [ILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [ILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGTRAP, {SIG_DFL, [TRAP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGABRT, {SIG_DFL, [ABRT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [ABRT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGBUS, {SIG_DFL, [BUS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [BUS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGFPE, {SIG_DFL, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGKILL, {SIG_DFL, [KILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = -1 EINVAL (Invalid argument)
24161 rt_sigaction(SIGUSR1, {SIG_DFL, [USR1], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [USR1], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGSEGV, {SIG_DFL, [SEGV], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [SEGV], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGUSR2, {SIG_DFL, [USR2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [USR2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGPIPE, {SIG_DFL, [PIPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_IGN, [PIPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGALRM, {SIG_DFL, [ALRM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGTERM, {SIG_DFL, [TERM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [TERM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24161 rt_sigaction(SIGSTKFLT, {SIG_DFL, [STKFLT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGCHLD, {SIG_DFL, [CHLD], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGCONT, {SIG_DFL, [CONT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGSTOP, {SIG_DFL, [STOP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = -1 EINVAL (Invalid argument)
24161 rt_sigaction(SIGTSTP, {SIG_DFL, [TSTP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGTTIN, {SIG_DFL, [TTIN], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGTTOU, {SIG_DFL, [TTOU], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGURG, {SIG_DFL, [URG], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGXCPU, {SIG_DFL, [XCPU], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGXFSZ, {SIG_DFL, [XFSZ], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGVTALRM, {SIG_DFL, [VTALRM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGPROF, {SIG_DFL, [PROF], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGWINCH, {SIG_DFL, [WINCH], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGIO, {SIG_DFL, [IO], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGPWR, {SIG_DFL, [PWR], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fc690, ~[KILL STOP RTMIN RT_1], SA_RESTORER|SA_RESTART, 0x7f12afe3c990}, 8) = 0
24161 rt_sigaction(SIGSYS, {SIG_DFL, [SYS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_2, {SIG_DFL, [RT_2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_3, {SIG_DFL, [RT_3], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_4, {SIG_DFL, [RT_4], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_5, {SIG_DFL, [RT_5], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_6, {SIG_DFL, [RT_6], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_7, {SIG_DFL, [RT_7], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_8, {SIG_DFL, [RT_8], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_9, {SIG_DFL, [RT_9], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_10, {SIG_DFL, [RT_10], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_11, {SIG_DFL, [RT_11], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_12, {SIG_DFL, [RT_12], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_13, {SIG_DFL, [RT_13], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_14, {SIG_DFL, [RT_14], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_15, {SIG_DFL, [RT_15], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_16, {SIG_DFL, [RT_16], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_17, {SIG_DFL, [RT_17], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_18, {SIG_DFL, [RT_18], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_19, {SIG_DFL, [RT_19], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_20, {SIG_DFL, [RT_20], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_21, {SIG_DFL, [RT_21], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_22, {SIG_DFL, [RT_22], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_23, {SIG_DFL, [RT_23], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_24, {SIG_DFL, [RT_24], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_25, {SIG_DFL, [RT_25], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_26, {SIG_DFL, [RT_26], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_27, {SIG_DFL, [RT_27], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_28, {SIG_DFL, [RT_28], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_29, {SIG_DFL, [RT_29], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_30, {SIG_DFL, [RT_30], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_31, {SIG_DFL, [RT_31], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGRT_32, {SIG_DFL, [], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 execve("/bin/sh", ["sh", "-c", "which tput &> /dev/null"], [/* 40 vars */]) = 0
24161 brk(0) = 0x10d2000
24161 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7faeb845e000
24161 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24161 mmap(NULL, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7faeb845c000
24161 access("/etc/ld.so.preload", R_OK) = -1 ENOENT (No such file or directory)
24161 open("/etc/ld.so.cache", O_RDONLY) = 4
24161 fstat(4, {st_mode=S_IFREG|0644, st_size=73692, ...}) = 0
24161 mmap(NULL, 73692, PROT_READ, MAP_PRIVATE, 4, 0) = 0x7faeb844a000
24161 close(4) = 0
24161 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24161 open("/lib/libc.so.6", O_RDONLY) = 4
24161 read(4, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320\353\1\0\0\0\0\0"..., 832) = 832
24161 fstat(4, {st_mode=S_IFREG|0755, st_size=1379752, ...}) = 0
24161 mmap(NULL, 3487784, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 4, 0) = 0x7faeb7eef000
24161 mprotect(0x7faeb8039000, 2097152, PROT_NONE) = 0
24161 mmap(0x7faeb8239000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 4, 0x14a000) = 0x7faeb8239000
24161 mmap(0x7faeb823e000, 18472, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7faeb823e000
24161 close(4) = 0
24161 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7faeb8449000
24161 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7faeb8448000
24161 arch_prctl(ARCH_SET_FS, 0x7faeb84486f0) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24161 mprotect(0x7faeb8239000, 16384, PROT_READ) = 0
24161 mprotect(0x7faeb845f000, 4096, PROT_READ) = 0
24161 munmap(0x7faeb844a000, 73692) = 0
24161 getpid() = 24161
24161 rt_sigaction(SIGCHLD, {SIG_DFL, [CHLD], SA_RESTORER|SA_RESTART, 0x7faeb7f20fc0}, {SIG_DFL, [], 0}, 8) = 0
24161 geteuid() = 1000
24161 brk(0) = 0x10d2000
24161 brk(0x10f3000) = 0x10f3000
24161 getppid() = 24159
24161 stat("/home/mxey/build/rubinius", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24161 stat(".", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24161 rt_sigaction(SIGINT, NULL, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGINT, {0x40f250, ~[RTMIN RT_1], SA_RESTORER, 0x7faeb7f20fc0}, NULL, 8) = 0
24161 rt_sigaction(SIGQUIT, NULL, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGQUIT, {SIG_DFL, ~[RTMIN RT_1], SA_RESTORER, 0x7faeb7f20fc0}, NULL, 8) = 0
24161 rt_sigaction(SIGTERM, NULL, {SIG_DFL, [], 0}, 8) = 0
24161 rt_sigaction(SIGTERM, {SIG_DFL, ~[RTMIN RT_1], SA_RESTORER, 0x7faeb7f20fc0}, NULL, 8) = 0
24161 clone(child_stack=0, flags=CLONE_CHILD_CLEARTID|CLONE_CHILD_SETTID|SIGCHLD, child_tidptr=0x7faeb84487c0) = 24162
24161 open("/dev/null", O_WRONLY|O_CREAT|O_TRUNC, 0666 <unfinished ...>
24162 rt_sigaction(SIGINT, {SIG_IGN, [INT], SA_RESTORER|SA_RESTART, 0x7faeb7f20fc0}, <unfinished ...>
24161 <... open resumed> ) = 4
24162 <... rt_sigaction resumed> {0x40f250, ~[KILL STOP RTMIN RT_1], SA_RESTORER, 0x7faeb7f20fc0}, 8) = 0
24161 fcntl(1, F_DUPFD, 10 <unfinished ...>
24162 rt_sigaction(SIGQUIT, {SIG_IGN, [QUIT], SA_RESTORER|SA_RESTART, 0x7faeb7f20fc0}, <unfinished ...>
24161 <... fcntl resumed> ) = 10
24162 <... rt_sigaction resumed> {SIG_DFL, ~[KILL STOP RTMIN RT_1], SA_RESTORER, 0x7faeb7f20fc0}, 8) = 0
24161 close(1) = 0
24162 close(0) = 0
24161 fcntl(10, F_SETFD, FD_CLOEXEC <unfinished ...>
24162 open("/dev/null", O_RDONLY <unfinished ...>
24161 <... fcntl resumed> ) = 0
24162 <... open resumed> ) = 0
24161 dup2(4, 1) = 1
24161 close(4 <unfinished ...>
24162 stat("/home/mxey/perl5/bin/which", <unfinished ...>
24161 <... close resumed> ) = 0
24162 <... stat resumed> 0x7fffd41611f0) = -1 ENOENT (No such file or directory)
24162 stat("/usr/lib/ccache/which", <unfinished ...>
24161 dup2(10, 1 <unfinished ...>
24162 <... stat resumed> 0x7fffd41611f0) = -1 ENOENT (No such file or directory)
24162 stat("/home/mxey/bin/which", <unfinished ...>
24161 <... dup2 resumed> ) = 1
24162 <... stat resumed> 0x7fffd41611f0) = -1 ENOENT (No such file or directory)
24161 close(10 <unfinished ...>
24162 stat("/usr/local/bin/which", <unfinished ...>
24161 <... close resumed> ) = 0
24162 <... stat resumed> 0x7fffd41611f0) = -1 ENOENT (No such file or directory)
24162 stat("/usr/bin/which", <unfinished ...>
24161 exit_group(0) = ?
24162 <... stat resumed> {st_mode=S_IFREG|0755, st_size=946, ...}) = 0
24159 <... read resumed> 0x2cf6a10, 32384) = ? ERESTARTSYS (To be restarted)
24162 execve("/usr/bin/which", ["which", "tput"], [/* 40 vars */] <unfinished ...>
24159 --- SIGCHLD (Child exited) @ 0 (0) ---
24159 read(4, <unfinished ...>
24162 <... execve resumed> ) = 0
24162 brk(0) = 0x1227000
24162 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fb1c59d9000
24162 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24162 mmap(NULL, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fb1c59d7000
24162 access("/etc/ld.so.preload", R_OK) = -1 ENOENT (No such file or directory)
24162 open("/etc/ld.so.cache", O_RDONLY) = 4
24162 fstat(4, {st_mode=S_IFREG|0644, st_size=73692, ...}) = 0
24162 mmap(NULL, 73692, PROT_READ, MAP_PRIVATE, 4, 0) = 0x7fb1c59c5000
24162 close(4) = 0
24162 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24162 open("/lib/libc.so.6", O_RDONLY) = 4
24162 read(4, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320\353\1\0\0\0\0\0"..., 832) = 832
24162 fstat(4, {st_mode=S_IFREG|0755, st_size=1379752, ...}) = 0
24162 mmap(NULL, 3487784, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 4, 0) = 0x7fb1c546a000
24162 mprotect(0x7fb1c55b4000, 2097152, PROT_NONE) = 0
24162 mmap(0x7fb1c57b4000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 4, 0x14a000) = 0x7fb1c57b4000
24162 mmap(0x7fb1c57b9000, 18472, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7fb1c57b9000
24162 close(4) = 0
24162 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fb1c59c4000
24162 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fb1c59c3000
24162 arch_prctl(ARCH_SET_FS, 0x7fb1c59c36f0) = 0
24162 mprotect(0x7fb1c57b4000, 16384, PROT_READ) = 0
24162 mprotect(0x7fb1c59da000, 4096, PROT_READ) = 0
24162 munmap(0x7fb1c59c5000, 73692) = 0
24162 getpid() = 24162
24162 rt_sigaction(SIGCHLD, {SIG_DFL, [CHLD], SA_RESTORER|SA_RESTART, 0x7fb1c549bfc0}, {SIG_DFL, [], 0}, 8) = 0
24162 geteuid() = 1000
24162 brk(0) = 0x1227000
24162 brk(0x1248000) = 0x1248000
24162 getppid() = 1
24162 stat("/home/mxey/build/rubinius", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24162 stat(".", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24162 open("/usr/bin/which", O_RDONLY) = 4
24162 fcntl(4, F_DUPFD, 10) = 10
24162 close(4) = 0
24162 fcntl(10, F_SETFD, FD_CLOEXEC) = 0
24162 rt_sigaction(SIGINT, NULL, {SIG_IGN, [], 0}, 8) = 0
24162 rt_sigaction(SIGQUIT, NULL, {SIG_IGN, [], 0}, 8) = 0
24162 rt_sigaction(SIGTERM, NULL, {SIG_DFL, [], 0}, 8) = 0
24162 rt_sigaction(SIGTERM, {SIG_DFL, ~[RTMIN RT_1], SA_RESTORER, 0x7fb1c549bfc0}, NULL, 8) = 0
24162 read(10, "#! /bin/sh\nset -ef\n\nif test -n \""..., 8192) = 946
24162 stat("/home/mxey/perl5/bin/tput", 0x7fffff8524c0) = -1 ENOENT (No such file or directory)
24162 stat("/usr/lib/ccache/tput", 0x7fffff8524c0) = -1 ENOENT (No such file or directory)
24162 stat("/home/mxey/bin/tput", 0x7fffff8524c0) = -1 ENOENT (No such file or directory)
24162 stat("/usr/local/bin/tput", 0x7fffff8524c0) = -1 ENOENT (No such file or directory)
24162 stat("/usr/bin/tput", {st_mode=S_IFREG|0755, st_size=12040, ...}) = 0
24162 stat("/usr/bin/tput", {st_mode=S_IFREG|0755, st_size=12040, ...}) = 0
24162 geteuid() = 1000
24162 getgid() = 1000
24162 getegid() = 1000
24162 getgroups(0, NULL) = 11
24162 getgroups(11, [4, 20, 24, 25, 27, 29, 44, 46, 108, 114, 1000]) = 11
24162 write(1, "/usr/bin/tput\n", 14) = 14
24162 exit_group(0) = ?
24159 <... read resumed> "/usr/bin/tput\n", 32384) = 14
24159 read(4, "", 32384) = 0
24159 wait4(24161, [{WIFEXITED(s) && WEXITSTATUS(s) == 0}], 0, NULL) = 24161
24159 brk(0x2d37000) = 0x2d37000
24159 pipe([5, 6]) = 0
24159 fcntl(5, F_GETFL) = 0 (flags O_RDONLY)
24159 fcntl(6, F_GETFL) = 0x1 (flags O_WRONLY)
24159 clone(child_stack=0, flags=CLONE_CHILD_CLEARTID|CLONE_CHILD_SETTID|SIGCHLD, child_tidptr=0x7f12b024c7e0) = 24163
24159 close(6) = 0
24159 read(5, <unfinished ...>
24163 close(5) = 0
24163 dup2(6, 1) = 1
24163 fcntl(1, F_GETFL) = 0x1 (flags O_WRONLY)
24163 rt_sigaction(SIGHUP, {SIG_DFL, [HUP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [HUP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGINT, {SIG_DFL, [INT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGQUIT, {SIG_DFL, [QUIT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGILL, {SIG_DFL, [ILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [ILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGTRAP, {SIG_DFL, [TRAP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGABRT, {SIG_DFL, [ABRT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [ABRT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGBUS, {SIG_DFL, [BUS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [BUS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGFPE, {SIG_DFL, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGKILL, {SIG_DFL, [KILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = -1 EINVAL (Invalid argument)
24163 rt_sigaction(SIGUSR1, {SIG_DFL, [USR1], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [USR1], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGSEGV, {SIG_DFL, [SEGV], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fcb40, [SEGV], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGUSR2, {SIG_DFL, [USR2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [USR2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGPIPE, {SIG_DFL, [PIPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_IGN, [PIPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGALRM, {SIG_DFL, [ALRM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGTERM, {SIG_DFL, [TERM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fca50, [TERM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24163 rt_sigaction(SIGSTKFLT, {SIG_DFL, [STKFLT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGCHLD, {SIG_DFL, [CHLD], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGCONT, {SIG_DFL, [CONT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGSTOP, {SIG_DFL, [STOP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = -1 EINVAL (Invalid argument)
24163 rt_sigaction(SIGTSTP, {SIG_DFL, [TSTP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGTTIN, {SIG_DFL, [TTIN], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGTTOU, {SIG_DFL, [TTOU], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGURG, {SIG_DFL, [URG], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGXCPU, {SIG_DFL, [XCPU], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGXFSZ, {SIG_DFL, [XFSZ], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGVTALRM, {SIG_DFL, [VTALRM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGPROF, {SIG_DFL, [PROF], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGWINCH, {SIG_DFL, [WINCH], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGIO, {SIG_DFL, [IO], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGPWR, {SIG_DFL, [PWR], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {0x5fc690, ~[KILL STOP RTMIN RT_1], SA_RESTORER|SA_RESTART, 0x7f12afe3c990}, 8) = 0
24163 rt_sigaction(SIGSYS, {SIG_DFL, [SYS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_2, {SIG_DFL, [RT_2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_3, {SIG_DFL, [RT_3], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_4, {SIG_DFL, [RT_4], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_5, {SIG_DFL, [RT_5], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_6, {SIG_DFL, [RT_6], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_7, {SIG_DFL, [RT_7], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_8, {SIG_DFL, [RT_8], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_9, {SIG_DFL, [RT_9], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_10, {SIG_DFL, [RT_10], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_11, {SIG_DFL, [RT_11], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_12, {SIG_DFL, [RT_12], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_13, {SIG_DFL, [RT_13], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_14, {SIG_DFL, [RT_14], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_15, {SIG_DFL, [RT_15], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_16, {SIG_DFL, [RT_16], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_17, {SIG_DFL, [RT_17], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_18, {SIG_DFL, [RT_18], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_19, {SIG_DFL, [RT_19], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_20, {SIG_DFL, [RT_20], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_21, {SIG_DFL, [RT_21], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_22, {SIG_DFL, [RT_22], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_23, {SIG_DFL, [RT_23], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_24, {SIG_DFL, [RT_24], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_25, {SIG_DFL, [RT_25], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_26, {SIG_DFL, [RT_26], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_27, {SIG_DFL, [RT_27], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_28, {SIG_DFL, [RT_28], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_29, {SIG_DFL, [RT_29], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_30, {SIG_DFL, [RT_30], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_31, {SIG_DFL, [RT_31], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGRT_32, {SIG_DFL, [], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 execve("/bin/sh", ["sh", "-c", "tput cols"], [/* 40 vars */] <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24163 <... execve resumed> ) = 0
24163 brk(0) = 0x70a000
24163 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fba1ef32000
24163 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24163 mmap(NULL, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fba1ef30000
24163 access("/etc/ld.so.preload", R_OK) = -1 ENOENT (No such file or directory)
24163 open("/etc/ld.so.cache", O_RDONLY) = 5
24163 fstat(5, {st_mode=S_IFREG|0644, st_size=73692, ...}) = 0
24163 mmap(NULL, 73692, PROT_READ, MAP_PRIVATE, 5, 0) = 0x7fba1ef1e000
24163 close(5) = 0
24163 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24163 open("/lib/libc.so.6", O_RDONLY) = 5
24163 read(5, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320\353\1\0\0\0\0\0"..., 832) = 832
24163 fstat(5, {st_mode=S_IFREG|0755, st_size=1379752, ...}) = 0
24163 mmap(NULL, 3487784, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 5, 0) = 0x7fba1e9c3000
24163 mprotect(0x7fba1eb0d000, 2097152, PROT_NONE) = 0
24163 mmap(0x7fba1ed0d000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 5, 0x14a000) = 0x7fba1ed0d000
24163 mmap(0x7fba1ed12000, 18472, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7fba1ed12000
24163 close(5) = 0
24163 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fba1ef1d000
24163 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fba1ef1c000
24163 arch_prctl(ARCH_SET_FS, 0x7fba1ef1c6f0) = 0
24163 mprotect(0x7fba1ed0d000, 16384, PROT_READ) = 0
24163 mprotect(0x7fba1ef33000, 4096, PROT_READ) = 0
24163 munmap(0x7fba1ef1e000, 73692) = 0
24163 getpid() = 24163
24163 rt_sigaction(SIGCHLD, {SIG_DFL, [CHLD], SA_RESTORER|SA_RESTART, 0x7fba1e9f4fc0}, {SIG_DFL, [], 0}, 8) = 0
24163 geteuid() = 1000
24163 brk(0) = 0x70a000
24163 brk(0x72b000) = 0x72b000
24163 getppid() = 24159
24163 stat("/home/mxey/build/rubinius", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24163 stat(".", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24163 rt_sigaction(SIGINT, NULL, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGINT, {0x40f250, ~[RTMIN RT_1], SA_RESTORER, 0x7fba1e9f4fc0}, NULL, 8) = 0
24163 rt_sigaction(SIGQUIT, NULL, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGQUIT, {SIG_DFL, ~[RTMIN RT_1], SA_RESTORER, 0x7fba1e9f4fc0}, NULL, 8) = 0
24163 rt_sigaction(SIGTERM, NULL, {SIG_DFL, [], 0}, 8) = 0
24163 rt_sigaction(SIGTERM, {SIG_DFL, ~[RTMIN RT_1], SA_RESTORER, 0x7fba1e9f4fc0}, NULL, 8) = 0
24163 stat("/home/mxey/perl5/bin/tput", 0x7fff3e14e5c0) = -1 ENOENT (No such file or directory)
24163 stat("/usr/lib/ccache/tput", 0x7fff3e14e5c0) = -1 ENOENT (No such file or directory)
24163 stat("/home/mxey/bin/tput", 0x7fff3e14e5c0) = -1 ENOENT (No such file or directory)
24163 stat("/usr/local/bin/tput", 0x7fff3e14e5c0) = -1 ENOENT (No such file or directory)
24163 stat("/usr/bin/tput", {st_mode=S_IFREG|0755, st_size=12040, ...}) = 0
24163 clone(child_stack=0, flags=CLONE_CHILD_CLEARTID|CLONE_CHILD_SETTID|SIGCHLD, child_tidptr=0x7fba1ef1c7c0) = 24164
24163 wait4(-1, <unfinished ...>
24164 execve("/usr/bin/tput", ["tput", "cols"], [/* 40 vars */]) = 0
24164 brk(0) = 0xfe9000
24164 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fad3d688000
24164 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24164 mmap(NULL, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fad3d686000
24164 access("/etc/ld.so.preload", R_OK) = -1 ENOENT (No such file or directory)
24164 open("/etc/ld.so.cache", O_RDONLY) = 5
24164 fstat(5, {st_mode=S_IFREG|0644, st_size=73692, ...}) = 0
24164 mmap(NULL, 73692, PROT_READ, MAP_PRIVATE, 5, 0) = 0x7fad3d674000
24164 close(5) = 0
24164 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24164 open("/lib/libncurses.so.5", O_RDONLY) = 5
24164 read(5, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0p(\1\0\0\0\0\0"..., 832) = 832
24164 fstat(5, {st_mode=S_IFREG|0644, st_size=280592, ...}) = 0
24164 mmap(NULL, 2377408, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 5, 0) = 0x7fad3d228000
24164 mprotect(0x7fad3d268000, 2097152, PROT_NONE) = 0
24164 mmap(0x7fad3d468000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 5, 0x40000) = 0x7fad3d468000
24164 close(5) = 0
24164 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24164 open("/lib/libc.so.6", O_RDONLY) = 5
24164 read(5, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320\353\1\0\0\0\0\0"..., 832) = 832
24164 fstat(5, {st_mode=S_IFREG|0755, st_size=1379752, ...}) = 0
24164 mmap(NULL, 3487784, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 5, 0) = 0x7fad3ced4000
24164 mprotect(0x7fad3d01e000, 2097152, PROT_NONE) = 0
24164 mmap(0x7fad3d21e000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 5, 0x14a000) = 0x7fad3d21e000
24164 mmap(0x7fad3d223000, 18472, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7fad3d223000
24164 close(5) = 0
24164 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24164 open("/lib/libdl.so.2", O_RDONLY) = 5
24164 read(5, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\340\r\0\0\0\0\0\0"..., 832) = 832
24164 fstat(5, {st_mode=S_IFREG|0644, st_size=14696, ...}) = 0
24164 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fad3d673000
24164 mmap(NULL, 2109696, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 5, 0) = 0x7fad3ccd0000
24164 mprotect(0x7fad3ccd2000, 2097152, PROT_NONE) = 0
24164 mmap(0x7fad3ced2000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 5, 0x2000) = 0x7fad3ced2000
24164 close(5) = 0
24164 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fad3d672000
24164 arch_prctl(ARCH_SET_FS, 0x7fad3d6726f0) = 0
24164 mprotect(0x7fad3ced2000, 4096, PROT_READ) = 0
24164 mprotect(0x7fad3d21e000, 16384, PROT_READ) = 0
24164 mprotect(0x7fad3d689000, 4096, PROT_READ) = 0
24164 munmap(0x7fad3d674000, 73692) = 0
24164 ioctl(1, SNDCTL_TMR_TIMEBASE or TCGETS, 0x7fff4d2eabf0) = -1 EINVAL (Invalid argument)
24164 brk(0) = 0xfe9000
24164 brk(0x100a000) = 0x100a000
24164 stat("/home/mxey/.terminfo", {st_mode=S_IFDIR|0755, st_size=4096, ...}) = 0
24164 access("/home/mxey/.terminfo/r/rxvt-unicode", R_OK) = -1 ENOENT (No such file or directory)
24164 stat("/etc/terminfo", {st_mode=S_IFDIR|0755, st_size=4096, ...}) = 0
24164 access("/etc/terminfo/r/rxvt-unicode", R_OK) = -1 ENOENT (No such file or directory)
24164 stat("/lib/terminfo", {st_mode=S_IFDIR|0755, st_size=4096, ...}) = 0
24164 access("/lib/terminfo/r/rxvt-unicode", R_OK) = 0
24164 open("/lib/terminfo/r/rxvt-unicode", O_RDONLY) = 5
24164 read(5, "\32\0015\0\35\0\37\0p\1\371\4rxvt-unicode|rxvt-un"..., 4097) = 2165
24164 close(5) = 0
24164 ioctl(2, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24164 ioctl(2, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24164 ioctl(2, TIOCGWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24164 fstat(1, {st_mode=S_IFIFO|0600, st_size=0, ...}) = 0
24164 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7fad3d685000
24164 write(1, "279\n", 4) = 4
24164 exit_group(0) = ?
24159 <... read resumed> "279\n", 32384) = 4
24159 read(5, <unfinished ...>
24163 <... wait4 resumed> [{WIFEXITED(s) && WEXITSTATUS(s) == 0}], 0, NULL) = 24164
24163 --- SIGCHLD (Child exited) @ 0 (0) ---
24163 exit_group(0) = ?
24159 <... read resumed> "", 32384) = 0
24159 --- SIGCHLD (Child exited) @ 0 (0) ---
24159 wait4(24163, [{WIFEXITED(s) && WEXITSTATUS(s) == 0}], 0, NULL) = 24163
24159 getcwd("/home/mxey/build/rubinius", 1024) = 26
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/etc/rbxrc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/.rbxrc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 rt_sigprocmask(SIG_UNBLOCK, [INT], NULL, 8) = 0
24159 rt_sigaction(SIGINT, {0x5fc4a0, ~[RTMIN RT_1], SA_RESTORER, 0x7f12afe3c990}, NULL, 8) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler.rb", {st_mode=S_IFREG|0600, st_size=467, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler.rbc", {st_mode=S_IFREG|0600, st_size=1027, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1027
24159 close(6) = 0
24159 getcwd("/home/mxey/build/rubinius", 1024) = 26
24159 stat("/home/mxey/build/rubinius/lib/melbourne.rb", {st_mode=S_IFREG|0600, st_size=1902, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/melbourne.rbc", {st_mode=S_IFREG|0600, st_size=5825, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/melbourne.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5825
24159 close(6) = 0
24159 getcwd("/home/mxey/build/rubinius", 1024) = 26
24159 stat("/home/mxey/build/rubinius/lib/ext/melbourne/rbx/melbourne.rb", 0x2d1f3c0) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/lib/ext/melbourne/rbx/melbourne.rbc", <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24159 <... stat resumed> 0x2d1f130) = -1 ENOENT (No such file or directory)
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib/ext/melbourne/rbx/melbourne.so", {st_mode=S_IFREG|0700, st_size=357632, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/ext/melbourne/rbx/melbourne.so", O_RDONLY) = 6
24159 read(6, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0 \7\1\0\0\0\0\0"..., 832) = 832
24159 fstat(6, {st_mode=S_IFREG|0700, st_size=357632, ...}) = 0
24159 mmap(NULL, 2407872, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 6, 0) = 0x7f12ad08f000
24159 mprotect(0x7f12ad0d9000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12ad2d9000, 8192, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 6, 0x4a000) = 0x7f12ad2d9000
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/melbourne/processor.rb", {st_mode=S_IFREG|0600, st_size=10892, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/melbourne/processor.rbc", {st_mode=S_IFREG|0600, st_size=40433, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/melbourne/processor.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2d59000) = 0x2d59000
24159 read(6, "\nallocate\nx\n10\ninitialize\nn\nx\n19"..., 8191) = 8191
24159 read(6, "I\n0\nI\na9\nI\n2a\nx\n26\nlib/melbourne"..., 8191) = 8191
24159 read(6, "itialize\np\n5\nI\n0\nI\n115\nI\n0\nI\n116"..., 8191) = 8191
24159 brk(0x2d7a000) = 0x2d7a000
24159 read(6, "\nx\n8\nallocate\nx\n10\ninitialize\np\n"..., 8191) = 7669
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/compiler.rb", {st_mode=S_IFREG|0600, st_size=2575, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/compiler.rbc", {st_mode=S_IFREG|0600, st_size=7032, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/compiler.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7032
24159 brk(0x2d9b000) = 0x2d9b000
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/stages.rb", {st_mode=S_IFREG|0600, st_size=4702, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/stages.rbc", {st_mode=S_IFREG|0600, st_size=14287, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/stages.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "ius\nn\nx\n9\nGenerator\nx\n10\n@proces"..., 8191) = 6096
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/locals.rb", {st_mode=S_IFREG|0600, st_size=2561, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/locals.rbc", {st_mode=S_IFREG|0600, st_size=9117, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/locals.rbc", O_RDONLY) = 6
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24159 read(6, <unfinished ...>
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 <... read resumed> "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2dbc000) = 0x2dbc000
24159 read(6, "t_bytecode\ni\n23\n18\n0\n45\n0\n14\n18\n"..., 8191) = 926
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast.rb", {st_mode=S_IFREG|0600, st_size=498, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast.rbc", {st_mode=S_IFREG|0600, st_size=1151, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1151
24159 close(6) = 0
24159 getcwd("/home/mxey/build/rubinius", 1024) = 26
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/node.rb", {st_mode=S_IFREG|0600, st_size=4183, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/node.rbc", {st_mode=S_IFREG|0600, st_size=11187, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/node.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "\nI\n5\nI\n88\nI\n9\nI\n89\nI\nd\nI\n8a\nI\n11"..., 8191) = 2996
24159 brk(0x2ddd000) = 0x2ddd000
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/self.rb", {st_mode=S_IFREG|0600, st_size=326, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/self.rbc", {st_mode=S_IFREG|0600, st_size=1890, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/self.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1890
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/constants.rb", {st_mode=S_IFREG|0600, st_size=3544, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/constants.rbc", {st_mode=S_IFREG|0600, st_size=11414, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/constants.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "n\nn\nx\n16\nreceiver_defined\ni\n24\n5"..., 8191) = 3223
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/control_flow.rb", {st_mode=S_IFREG|0600, st_size=9087, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/control_flow.rbc", {st_mode=S_IFREG|0600, st_size=24662, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/control_flow.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "each\nx\n6\n@splat\nx\n4\ngoto\nx\n4\nset"..., 8191) = 8191
24159 brk(0x2dfe000) = 0x2dfe000
24159 read(6, "0\n7\n7\n76\n46\n8\n2\n14\n36\n9\n18\n0\n46\n"..., 8191) = 8191
24159 read(6, "low.rb\np\n0\nx\n13\nattach_method\np\n"..., 8191) = 89
24159 close(6) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/data.rb", {st_mode=S_IFREG|0600, st_size=611, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/data.rbc", {st_mode=S_IFREG|0600, st_size=1994, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/data.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1994
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/definitions.rb", {st_mode=S_IFREG|0600, st_size=15643, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/definitions.rbc", {st_mode=S_IFREG|0600, st_size=43537, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/definitions.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2e1f000) = 0x2e1f000
24159 read(6, "ariable\nn\nx\n16\nnew_nested_local\n"..., 8191) = 8191
24159 read(6, "11\nI\nfb\nI\n33\nI\nfc\nI\n38\nI\nfd\nI\n3f"..., 8191) = 8191
24159 read(6, "\nI\n186\nI\n5c\nI\n188\nI\n82\nI\n18b\nI\n8"..., 8191) = 8191
24159 brk(0x2e40000) = 0x2e40000
24159 read(6, "x\n4\nline\nx\n4\nname\nx\n4\nbody\nx\n17\n"..., 8191) = 8191
24159 read(6, "x\n18\ncontainer_bytecode\np\n7\nI\n0\n"..., 8191) = 2582
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/exceptions.rb", {st_mode=S_IFREG|0600, st_size=6813, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/exceptions.rbc", {st_mode=S_IFREG|0600, st_size=12437, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/exceptions.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2e61000) = 0x2e61000
24159 read(6, "ConstFind\nn\nx\n13\nStandardError\nn"..., 8191) = 4246
24159 close(6) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/file.rb", {st_mode=S_IFREG|0600, st_size=169, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/file.rbc", {st_mode=S_IFREG|0600, st_size=1252, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/file.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1252
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/grapher.rb", {st_mode=S_IFREG|0600, st_size=1784, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/grapher.rbc", {st_mode=S_IFREG|0600, st_size=5158, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/grapher.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5158
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/literals.rb", {st_mode=S_IFREG|0600, st_size=7626, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/literals.rbc", {st_mode=S_IFREG|0600, st_size=20579, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/literals.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2e82000) = 0x2e82000
24159 read(6, "Rubinius\nn\nx\n8\nbytecode\nM\n1\nn\nn\n"..., 8191) = 8191
24159 read(6, "e\nI\n2b\nI\n13f\nI\n37\nI\n142\nI\n41\nI\n1"..., 8191) = 4197
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/operators.rb", {st_mode=S_IFREG|0600, st_size=5853, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/operators.rbc", {st_mode=S_IFREG|0600, st_size=10389, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/operators.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2ea3000) = 0x2ea3000
24159 read(6, "/compiler/ast/operators.rb\np\n0\nn"..., 8191) = 2198
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/sends.rb", {st_mode=S_IFREG|0600, st_size=14895, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/sends.rbc", {st_mode=S_IFREG|0600, st_size=32116, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/sends.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "rguments\nx\n17\nmethod_visibility\n"..., 8191) = 8191
24159 read(6, "13\n45\n5\n76\n46\n6\n1\n13\n16\n2\n46\n7\n1"..., 8191) = 8191
24159 brk(0x2ec4000) = 0x2ec4000
24159 read(6, "\n1\n13\n16\n2\n46\n4\n1\n14\n14\n18\n1\n8\n3"..., 8191) = 7543
24159 close(6) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/values.rb", {st_mode=S_IFREG|0600, st_size=1631, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/values.rbc", {st_mode=S_IFREG|0600, st_size=5658, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/values.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5658
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/variables.rb", {st_mode=S_IFREG|0600, st_size=9630, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/variables.rbc", {st_mode=S_IFREG|0600, st_size=25045, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/variables.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2ee5000) = 0x2ee5000
24159 read(6, "ess\ni\n32\n5\n63\n42\n0\n1\n7\n2\n7\n3\n62\n"..., 8191) = 8191
24159 read(6, "\n5\n46\n3\n1\n11\nI\n3\nI\n1\nI\n1\nI\n1\nn\np"..., 8191) = 8191
24159 read(6, "4\nI\n4c\nI\n1a9\nI\n5b\nI\n1b2\nI\n6a\nI\n1"..., 8191) = 472
24159 brk(0x2f06000) = 0x2f06000
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/transforms.rb", {st_mode=S_IFREG|0600, st_size=11163, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/ast/transforms.rbc", {st_mode=S_IFREG|0600, st_size=26329, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/ast/transforms.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "3\n14\n8\n47\n18\n0\n36\n4\n45\n5\n45\n6\n36"..., 8191) = 8191
24159 read(6, "\n6\nmatch?\nM\n1\nn\nn\nx\n6\nmatch?\ni\n8"..., 8191) = 8191
24159 brk(0x2f27000) = 0x2f27000
24159 read(6, "eceiver\nx\n4\nname\nx\n9\narguments\nx"..., 8191) = 1756
24159 close(6) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib/compiler/generator.rb", {st_mode=S_IFREG|0600, st_size=10892, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/generator.rbc", {st_mode=S_IFREG|0600, st_size=25643, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/generator.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "te\nx\n6\n@lines\nx\n10\ninitialize\nx\n"..., 8191) = 8191
24159 read(6, "\n61\nI\n149\nI\n6c\nI\n14b\nI\n74\nx\n25\nl"..., 8191) = 8191
24159 brk(0x2f48000) = 0x2f48000
24159 read(6, "\n8\n1\n17\n1\n14\n21\n2\n10\n16\n1\n17\n2\n1"..., 8191) = 1070
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/stack_calculator.rb", {st_mode=S_IFREG|0600, st_size=2810, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/stack_calculator.rbc", {st_mode=S_IFREG|0600, st_size=4686, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/stack_calculator.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 4686
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/iseq.rb", {st_mode=S_IFREG|0600, st_size=7800, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/iseq.rbc", {st_mode=S_IFREG|0600, st_size=14410, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/iseq.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "76\n78\n4\n11\nI\n6\nI\n2\nI\n2\nI\n2\nn\np\n5"..., 8191) = 6219
24159 brk(0x2f69000) = 0x2f69000
24159 close(6) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/opcodes.rb", {st_mode=S_IFREG|0600, st_size=12077, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/opcodes.rbc", {st_mode=S_IFREG|0600, st_size=15307, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/opcodes.rbc", O_RDONLY) = 6
24159 read(6, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(6, "\n0\n46\n4\n2\n14\n13\n7\n6\n7\n7\n46\n4\n2\n1"..., 8191) = 7116
24159 brk(0x2f90000) = 0x2f90000
24159 close(6) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib/compiler/compiled_file.rb", {st_mode=S_IFREG|0600, st_size=7807, ...}) = 0
24159 brk(0x2fb1000) = 0x2fb1000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 close(4) = 0
24159 close(5) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/compiled_file.rbc", {st_mode=S_IFREG|0600, st_size=13969, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/compiled_file.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "2\nI\n90\nI\n16b\nI\n91\nI\n189\nI\n92\nI\n1"..., 8191) = 5778
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/evaluator.rb", {st_mode=S_IFREG|0600, st_size=5411, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/evaluator.rbc", {st_mode=S_IFREG|0600, st_size=24877, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/evaluator.rbc", O_RDONLY <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24159 <... open resumed> ) = 4
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "5\nI\n0\nI\n4b\nI\n0\nI\n4c\nI\ne\nx\n25\nlib"..., 8191) = 8191
24159 read(4, "\n15\nx\n25\nlib/compiler/evaluator."..., 8191) = 8191
24159 brk(0x2fd2000) = 0x2fd2000
24159 read(4, "3\nI\n3c2\nI\nf9\nI\n3e0\nI\n100\nI\n3fe\nI"..., 8191) = 304
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/printers.rb", {st_mode=S_IFREG|0600, st_size=2073, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/compiler/printers.rbc", {st_mode=S_IFREG|0600, st_size=6548, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/compiler/printers.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6548
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib/bin/irb.rb", {st_mode=S_IFREG|0700, st_size=322, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/bin/irb.rbc", {st_mode=S_IFREG|0600, st_size=312, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/bin/irb.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 312
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb.rb", {st_mode=S_IFREG|0600, st_size=7648, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb.rbc", {st_mode=S_IFREG|0600, st_size=19461, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x2ff3000) = 0x2ff3000
24159 read(4, "M\n1\nn\nn\nx\n9\n__block__\ni\n345\n22\n9"..., 8191) = 8191
24159 read(4, "46\n1\n1\n9\n82\n14\n19\n1\n0\n5\n7\n8\n61\n1"..., 8191) = 3079
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/e2mmap.rb", {st_mode=S_IFREG|0600, st_size=4134, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/e2mmap.rbc", {st_mode=S_IFREG|0644, st_size=7421, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/e2mmap.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 7421
24159 brk(0x3014000) = 0x3014000
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/init.rb", {st_mode=S_IFREG|0600, st_size=5873, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/init.rbc", {st_mode=S_IFREG|0600, st_size=14453, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/init.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "\n11\n--noinspect\ns\n10\n--readline\n"..., 8191) = 6262
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/context.rb", {st_mode=S_IFREG|0600, st_size=6159, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/context.rbc", {st_mode=S_IFREG|0600, st_size=13402, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/context.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x3036000) = 0x3036000
24159 read(4, "2\n@prompt_mode\nx\n3\nIRB\nn\nx\n4\ncon"..., 8191) = 5211
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/workspace.rb", {st_mode=S_IFREG|0600, st_size=2608, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/workspace.rbc", {st_mode=S_IFREG|0600, st_size=5910, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/workspace.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5910
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/extend-command.rb", {st_mode=S_IFREG|0600, st_size=6849, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/extend-command.rbc", {st_mode=S_IFREG|0600, st_size=13226, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/extend-command.rbc", O_RDONLY) = 4
24159 brk(0x3058000) = 0x3058000
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "p\n111\nI\n2\nI\n11\nI\nc\nI\n13\nI\n14\nI\n1"..., 8191) = 5035
24159 close(4) = 0
24159 mmap(NULL, 208896, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b01f0000
24159 munmap(0x7f12b01f0000, 208896) = 0
24159 brk(0x309c000) = 0x309c000
24159 brk(0x30ce000) = 0x30ce000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/ruby-lex.rb", {st_mode=S_IFREG|0600, st_size=21906, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/ruby-lex.rbc", {st_mode=S_IFREG|0600, st_size=55402, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/ruby-lex.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "\nempty?\nx\n7\n@readed\nx\n3\npop\nx\n6\n"..., 8191) = 8191
24159 read(4, "\n8\n14\n5\n42\n9\n10\n44\n46\n11\n1\n11\nI\n"..., 8191) = 8191
24159 read(4, "\n8\n7\n9\n75\n46\n10\n2\n6\n6\n46\n11\n1\n9\n"..., 8191) = 8191
24159 read(4, "\n45\n/home/mxey/build/rubinius/li"..., 8191) = 8191
24159 read(4, "5\n46\n6\n2\n6\n72\n44\n46\n70\n1\n9\n618\n3"..., 8191) = 8191
24159 read(4, "ror\nn\ns\n19\nIllegal octal digit\nx"..., 8191) = 6256
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/slex.rb", {st_mode=S_IFREG|0600, st_size=6218, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/slex.rbc", {st_mode=S_IFREG|0600, st_size=14076, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/slex.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "68\n35\n47\n9\n228\n44\n45\n36\n13\n18\n1\n"..., 8191) = 5885
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/notifier.rb", {st_mode=S_IFREG|0600, st_size=2777, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/notifier.rbc", {st_mode=S_IFREG|0600, st_size=9301, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/notifier.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 brk(0x30ef000) = 0x30ef000
24159 read(4, "\nx\n13\nNoMsgNotifier\ni\n32\n5\n63\n42"..., 8191) = 1110
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/output-method.rb", {st_mode=S_IFREG|0600, st_size=1837, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/output-method.rbc", {st_mode=S_IFREG|0600, st_size=5493, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/output-method.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 5493
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 brk(0x3133000) = 0x3133000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 mmap(NULL, 65536, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0213000
24159 mmap(0x7f12b0223000, 65536, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0203000
24159 mmap(0x7f12b0213000, 524288, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b0183000
24159 brk(0x3154000) = 0x3154000
24159 mmap(NULL, 8392704, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS|MAP_STACK, -1, 0) = 0x7f12ac88e000
24159 mprotect(0x7f12ac88e000, 4096, PROT_NONE) = 0
24159 clone(child_stack=0x7f12ad08e1f0, flags=CLONE_VM|CLONE_FS|CLONE_FILES|CLONE_SIGHAND|CLONE_THREAD|CLONE_SYSVSEM|CLONE_SETTLS|CLONE_PARENT_SETTID|CLONE_CHILD_CLEARTID, parent_tidptr=0x7f12ad08e9e0, tls=0x7f12ad08e910, child_tidptr=0x7f12ad08e9e0) = 24165
24165 set_robust_list(0x7f12ad08e9f0, 0x18) = 0
24165 mmap(NULL, 134217728, PROT_NONE, MAP_PRIVATE|MAP_ANONYMOUS|MAP_NORESERVE, -1, 0 <unfinished ...>
24159 futex(0x7f12aee36e60, FUTEX_WAKE_PRIVATE, 1 <unfinished ...>
24165 <... mmap resumed> ) = 0x7f12a488e000
24165 munmap(0x7f12a488e000, 58138624 <unfinished ...>
24159 <... futex resumed> ) = 0
24165 <... munmap resumed> ) = 0
24165 munmap(0x7f12ac000000, 8970240) = 0
24165 mprotect(0x7f12a8000000, 135168, PROT_READ|PROT_WRITE) = 0
24159 brk(0x31a6000) = 0x31a6000
24165 mprotect(0x7f12a8021000, 8192, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8023000, 8192, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8025000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8026000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8027000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8028000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8029000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a802a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a802b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a802c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a802d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a802e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a802f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8030000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8031000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8032000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8033000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8034000, 4096, PROT_READ|PROT_WRITE) = 0
24159 stat("/home/mxey/build/rubinius/lib", <unfinished ...>
24165 mprotect(0x7f12a8035000, 4096, PROT_READ|PROT_WRITE) = 0
24159 <... stat resumed> {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/ruby-token.rb", <unfinished ...>
24165 mprotect(0x7f12a8036000, 4096, PROT_READ|PROT_WRITE) = 0
24159 <... stat resumed> {st_mode=S_IFREG|0600, st_size=7607, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/ruby-token.rbc", {st_mode=S_IFREG|0600, st_size=16077, ...}) = 0
24165 mprotect(0x7f12a8037000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8038000, 4096, PROT_READ|PROT_WRITE <unfinished ...>
24159 open("/home/mxey/build/rubinius/lib/irb/ruby-token.rbc", O_RDONLY <unfinished ...>
24165 <... mprotect resumed> ) = 0
24159 <... open resumed> ) = 4
24159 read(4, <unfinished ...>
24165 mprotect(0x7f12a8039000, 4096, PROT_READ|PROT_WRITE) = 0
24159 <... read resumed> "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24165 mprotect(0x7f12a803a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a803b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a803c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a803d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a803e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a803f000, 4096, PROT_READ|PROT_WRITE <unfinished ...>
24159 read(4, <unfinished ...>
24165 <... mprotect resumed> ) = 0
24159 <... read resumed> "x\n2\nop\nx\n17\nmethod_visibility\nx\n"..., 8191) = 7886
24165 mprotect(0x7f12a8040000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8041000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8042000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8043000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8044000, 4096, PROT_READ|PROT_WRITE) = 0
24165 rt_sigaction(SIGHUP, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fca50, [HUP], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGINT, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fc4a0, ~[KILL STOP RTMIN RT_1], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24165 rt_sigaction(SIGQUIT, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24165 rt_sigaction(SIGPIPE, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {SIG_IGN, [PIPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGTERM, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fca50, [TERM], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24159 close(4 <unfinished ...>
24165 rt_sigaction(SIGUSR1, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, <unfinished ...>
24159 <... close resumed> ) = 0
24165 <... rt_sigaction resumed> {0x5fca50, [USR1], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGUSR2, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fca50, [USR2], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGILL, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fcb40, [ILL], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGTRAP, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24165 rt_sigaction(SIGABRT, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fcb40, [ABRT], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGFPE, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fcb40, [FPE], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGBUS, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fcb40, [BUS], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGSEGV, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x5fcb40, [SEGV], SA_RESTORER|SA_RESTART, 0x7f12aeb18fc0}, 8) = 0
24165 rt_sigaction(SIGSYS, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24165 rt_sigaction(SIGXCPU, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24165 rt_sigaction(SIGXFSZ, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24165 mprotect(0x7f12a8045000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8046000, 20480, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a804b000, 4096, PROT_READ|PROT_WRITE) = 0
24159 brk(0x31d9000 <unfinished ...>
24165 mprotect(0x7f12a804c000, 4096, PROT_READ|PROT_WRITE <unfinished ...>
24159 <... brk resumed> ) = 0x31d9000
24165 <... mprotect resumed> ) = 0
24165 mprotect(0x7f12a804d000, 4096, PROT_READ|PROT_WRITE) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a804e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a804f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8050000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8051000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8052000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8053000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8054000, 65536, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8064000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8065000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8066000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8067000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8068000, 4096, PROT_READ|PROT_WRITE) = 0
24159 futex(0x240b6dc, FUTEX_WAIT_PRIVATE, 1, NULL <unfinished ...>
24165 mprotect(0x7f12a8069000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a806a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a806b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a806c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a806d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a806e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a806f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8070000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8071000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8072000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8073000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8074000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8075000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8076000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8077000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8078000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8079000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a807a000, 28672, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8081000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8082000, 12288, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8085000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8086000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8087000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8088000, 110592, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80a3000, 57344, PROT_READ|PROT_WRITE) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a80b1000, 114688, PROT_READ|PROT_WRITE) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a80cd000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80ce000, 24576, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80d4000, 8192, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80d6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80d7000, 12288, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80da000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80db000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80dc000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80dd000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80de000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80df000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80e0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80e1000, 32768, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80e9000, 20480, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80ee000, 8192, PROT_READ|PROT_WRITE) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a80f0000, 24576, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80f6000, 32768, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80fe000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a80ff000, 32768, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8107000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8108000, 16384, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a810c000, 8192, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a810e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a810f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8110000, 24576, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8116000, 36864, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a811f000, 28672, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8126000, 32768, PROT_READ|PROT_WRITE) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a812e000, 32768, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8136000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8137000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8138000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8139000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a813a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a813b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a813c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a813d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a813e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a813f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8140000, 16384, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8144000, 8192, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8146000, 16384, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a814a000, 65536, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a815a000, 32768, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8162000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8163000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8164000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8165000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8166000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8167000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8168000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8169000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a816a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a816b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a816c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a816d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a816e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a816f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8170000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8171000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8172000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8173000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8174000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8175000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8176000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8177000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8178000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8179000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a817a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a817b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a817c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a817d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a817e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a817f000, 16384, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8183000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8184000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8185000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8186000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8187000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8188000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8189000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a818a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a818b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a818c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a818d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a818e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a818f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8190000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8191000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8192000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8193000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8194000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8195000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8196000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8197000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8198000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8199000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a819a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a819b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a819c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a819d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a819e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a819f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a1000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a2000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a3000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a4000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a5000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a7000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a8000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81a9000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81aa000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ab000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ac000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ad000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ae000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81af000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b1000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b2000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b3000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b4000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b5000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b7000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b8000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81b9000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ba000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81bb000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81bc000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81bd000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81be000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81bf000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c1000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c2000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c3000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c4000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c5000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c7000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c8000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81c9000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ca000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81cb000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81cc000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81cd000, 4096, PROT_READ|PROT_WRITE <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24165 <... mprotect resumed> ) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a81ce000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81cf000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d1000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d2000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d3000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d4000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d5000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d7000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d8000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81d9000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81da000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81db000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81dc000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81dd000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81de000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81df000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e1000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e2000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e3000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e4000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e5000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e7000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e8000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81e9000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ea000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81eb000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ec000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ed000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ee000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ef000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f0000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f1000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f2000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f3000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f4000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f5000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f6000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f7000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f8000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81f9000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81fa000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81fb000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81fc000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81fd000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81fe000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a81ff000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8200000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8201000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8202000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8203000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8204000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8205000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8206000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8207000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8208000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8209000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a820a000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a820b000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a820c000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a820d000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a820e000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a820f000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8210000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8211000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8212000, 4096, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8213000, 12288, PROT_READ|PROT_WRITE) = 0
24165 mprotect(0x7f12a8216000, 4096, PROT_READ|PROT_WRITE) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 mprotect(0x7f12a8217000, 32768, PROT_READ|PROT_WRITE) = 0
24165 futex(0x240b6dc, FUTEX_WAKE_OP_PRIVATE, 1, 1, 0x240b6d8, {FUTEX_OP_SET, 0, FUTEX_OP_CMP_GT, 1}) = 1
24159 <... futex resumed> ) = 0
24165 futex(0x240b70c, FUTEX_WAIT_PRIVATE, 1, NULL <unfinished ...>
24159 futex(0x240b6b0, FUTEX_WAKE_PRIVATE, 1) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 futex(0x240b70c, FUTEX_CMP_REQUEUE_PRIVATE, 1, 2147483647, 0x240b6b0, 2) = 1
24165 <... futex resumed> ) = 0
24165 futex(0x240b6b0, FUTEX_WAKE_PRIVATE, 1) = 0
24159 brk(0x3209000) = 0x3209000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x322a000) = 0x322a000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x324b000) = 0x324b000
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/input-method.rb", {st_mode=S_IFREG|0600, st_size=1851, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/input-method.rbc", {st_mode=S_IFREG|0600, st_size=6876, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/input-method.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 6876
24159 close(4) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/readline.rb", {st_mode=S_IFREG|0600, st_size=277, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/readline.rbc", {st_mode=S_IFREG|0600, st_size=1268, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/readline.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 1268
24159 close(4) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/ext/readline/readline.rb", 0x7f12a80f4e10) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/lib/ext/readline/readline.rbc", 0x7f12a80f4eb0) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/lib/ext/readline/readline.so", {st_mode=S_IFREG|0700, st_size=21094, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/ext/readline/readline.so", O_RDONLY) = 4
24159 read(4, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\320\25\0\0\0\0\0\0"..., 832) = 832
24159 fstat(4, {st_mode=S_IFREG|0700, st_size=21094, ...}) = 0
24159 mmap(NULL, 2110824, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 4, 0) = 0x7f12ac68a000
24159 mprotect(0x7f12ac68e000, 2093056, PROT_NONE) = 0
24159 mmap(0x7f12ac88d000, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 4, 0x3000) = 0x7f12ac88d000
24159 close(4) = 0
24159 open("/etc/ld.so.cache", O_RDONLY) = 4
24159 fstat(4, {st_mode=S_IFREG|0644, st_size=73692, ...}) = 0
24159 mmap(NULL, 73692, PROT_READ, MAP_PRIVATE, 4, 0) = 0x7f12b0251000
24159 close(4) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libreadline.so.6", O_RDONLY) = 4
24159 read(4, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\360Z\1\0\0\0\0\0"..., 832) = 832
24159 fstat(4, {st_mode=S_IFREG|0644, st_size=273840, ...}) = 0
24159 mmap(NULL, 2374504, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 4, 0) = 0x7f12ac446000
24159 mprotect(0x7f12ac481000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12ac681000, 32768, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 4, 0x3b000) = 0x7f12ac681000
24159 mmap(0x7f12ac689000, 2920, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x7f12ac689000
24159 close(4) = 0
24159 access("/etc/ld.so.nohwcap", F_OK) = -1 ENOENT (No such file or directory)
24159 open("/lib/libncurses.so.5", O_RDONLY) = 4
24159 read(4, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0p(\1\0\0\0\0\0"..., 832) = 832
24159 fstat(4, {st_mode=S_IFREG|0644, st_size=280592, ...}) = 0
24159 mmap(NULL, 2377408, PROT_READ|PROT_EXEC, MAP_PRIVATE|MAP_DENYWRITE, 4, 0) = 0x7f12ac201000
24159 mprotect(0x7f12ac241000, 2097152, PROT_NONE) = 0
24159 mmap(0x7f12ac441000, 20480, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_DENYWRITE, 4, 0x40000) = 0x7f12ac441000
24159 close(4) = 0
24165 futex(0x7f12b02674c8, FUTEX_WAIT_PRIVATE, 2, NULL <unfinished ...>
24159 munmap(0x7f12b0251000, 73692) = 0
24159 futex(0x7f12b02674c8, FUTEX_WAKE_PRIVATE, 1) = 1
24165 <... futex resumed> ) = 0
24165 futex(0x7f12b02674c8, FUTEX_WAKE_PRIVATE, 1) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/locale.rb", {st_mode=S_IFREG|0600, st_size=3452, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/locale.rbc", {st_mode=S_IFREG|0600, st_size=10746, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/locale.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 8191
24159 read(4, "irb/locale.rb\np\n0\nx\n3\nnew\nx\n6\nex"..., 8191) = 2555
24159 close(4) = 0
24159 futex(0x240b6dc, FUTEX_WAIT_PRIVATE, 3, NULL <unfinished ...>
24165 futex(0x240b6dc, FUTEX_WAKE_OP_PRIVATE, 1, 1, 0x240b6d8, {FUTEX_OP_SET, 0, FUTEX_OP_CMP_GT, 1}) = 1
24159 <... futex resumed> ) = 0
24165 futex(0x240b70c, FUTEX_WAIT_PRIVATE, 3, NULL <unfinished ...>
24159 futex(0x240b6b0, FUTEX_WAKE_PRIVATE, 1) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 futex(0x240b70c, FUTEX_CMP_REQUEUE_PRIVATE, 1, 2147483647, 0x240b6b0, 4) = 1
24165 <... futex resumed> ) = 0
24165 futex(0x240b6b0, FUTEX_WAKE_PRIVATE, 1) = 0
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/rubinius.rb", {st_mode=S_IFREG|0600, st_size=1231, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/rubinius.rbc", {st_mode=S_IFREG|0600, st_size=3516, ...}) = 0
24159 open("/home/mxey/build/rubinius/lib/irb/rubinius.rbc", O_RDONLY) = 4
24159 read(4, "!RBIX\n1214565122717296628\nx\nM\n1\n"..., 8191) = 3516
24159 close(4) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/lc/error.rb", {st_mode=S_IFREG|0600, st_size=926, ...}) = 0
24159 stat("/home/mxey/build/rubinius/lib/irb/lc/en_US.UTF-8/error.rb", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 open("/home/mxey/build/rubinius/lib/irb/lc/error.rb", O_RDONLY) = 4
24159 fcntl(4, F_GETFL) = 0x8000 (flags O_RDONLY|O_LARGEFILE)
24159 read(4, "#\n# irb/lc/error.rb - \n# \t$R"..., 32384) = 926
24159 read(4, "", 32384) = 0
24159 close(4) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 stat("/home/mxey/build/rubinius/lib", {st_mode=S_IFDIR|0700, st_size=4096, ...}) = 0
24159 stat("/home/mxey/.irbrc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 getcwd("/home/mxey/build/rubinius", 1024) = 26
24159 stat("/home/mxey/build/rubinius/.irbrc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/irb.rc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/_irbrc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/$irbrc", 0x2906f00) = -1 ENOENT (No such file or directory)
24159 stat("/home/mxey/build/rubinius/.irbrc", 0x7f12a800b690) = -1 ENOENT (No such file or directory)
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 rt_sigprocmask(SIG_UNBLOCK, [INT], NULL, 8) = 0
24159 rt_sigaction(SIGINT, {0x5fc4a0, ~[RTMIN RT_1], SA_RESTORER, 0x7f12afe3c990}, NULL, 8) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(1, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 stat("/home/mxey/.terminfo", {st_mode=S_IFDIR|0755, st_size=4096, ...}) = 0
24159 access("/home/mxey/.terminfo/r/rxvt-unicode", R_OK) = -1 ENOENT (No such file or directory)
24159 stat("/etc/terminfo", {st_mode=S_IFDIR|0755, st_size=4096, ...}) = 0
24159 access("/etc/terminfo/r/rxvt-unicode", R_OK) = -1 ENOENT (No such file or directory)
24159 stat("/lib/terminfo", {st_mode=S_IFDIR|0755, st_size=4096, ...}) = 0
24159 access("/lib/terminfo/r/rxvt-unicode", R_OK) = 0
24159 open("/lib/terminfo/r/rxvt-unicode", O_RDONLY) = 4
24159 read(4, "\32\0015\0\35\0\37\0p\1\371\4rxvt-unicode|rxvt-un"..., 4097) = 2165
24159 close(4) = 0
24159 ioctl(1, SNDCTL_TMR_TIMEBASE or TCGETS <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24159 <... ioctl resumed> , {B38400 opost isig icanon echo ...}) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 ioctl(1, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(1, TIOCGWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24159 ioctl(0, TIOCGWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24159 ioctl(0, TIOCGWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24159 ioctl(0, TIOCSWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 open("/usr/lib/locale/locale-archive", O_RDONLY) = 4
24159 fstat(4, {st_mode=S_IFREG|0644, st_size=2557792, ...}) = 0
24159 mmap(NULL, 2557792, PROT_READ, MAP_PRIVATE, 4, 0) = 0x7f12a7d8f000
24159 close(4) = 0
24159 stat("/home/mxey/.inputrc", {st_mode=S_IFREG|0644, st_size=266, ...}) = 0
24159 open("/home/mxey/.inputrc", O_RDONLY) = 4
24159 read(4, "set editing-mode vi\n\nset keymap "..., 266) = 266
24159 close(4) = 0
24159 rt_sigprocmask(SIG_BLOCK, [INT], [], 8) = 0
24159 ioctl(0, TIOCGWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24159 ioctl(0, TIOCSWINSZ, {ws_row=79, ws_col=279, ws_xpixel=1676, ws_ypixel=1031}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_STOP or TCSETSW, {B38400 opost isig -icanon -echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig -icanon -echo ...}) = 0
24159 rt_sigprocmask(SIG_SETMASK, [], NULL, 8) = 0
24159 rt_sigprocmask(SIG_BLOCK, [INT QUIT ALRM TERM TSTP TTIN TTOU], [], 8) = 0
24159 rt_sigaction(SIGINT, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {0x5fc4a0, ~[KILL STOP RTMIN RT_1], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGTERM, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGQUIT, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGALRM, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGTSTP, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGTTOU, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigaction(SIGTTIN, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigprocmask(SIG_SETMASK, [], NULL, 8) = 0
24159 rt_sigaction(SIGWINCH, {0x7f12ac46ebf0, [], SA_RESTORER|SA_RESTART, 0x7f12afe3c990}, {SIG_DFL, [], 0}, 8) = 0
24159 rt_sigprocmask(SIG_BLOCK, [INT], [], 8) = 0
24159 open("/usr/lib/gconv/gconv-modules.cache", O_RDONLY) = 4
24159 fstat(4, {st_mode=S_IFREG|0644, st_size=26048, ...}) = 0
24159 mmap(NULL, 26048, PROT_READ, MAP_SHARED, 4, 0) = 0x7f12b025c000
24159 close(4) = 0
24159 futex(0x7f12aee35f80, FUTEX_WAKE_PRIVATE, 2147483647) = 0
24159 fstat(1, {st_mode=S_IFCHR|0620, st_rdev=makedev(136, 2), ...}) = 0
24159 mmap(NULL, 4096, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_ANONYMOUS, -1, 0) = 0x7f12b025b000
24159 write(1, "irb(main):001:0> ", 17) = 17
24159 rt_sigprocmask(SIG_SETMASK, [], NULL, 8) = 0
24159 rt_sigprocmask(SIG_BLOCK, NULL, [], 8) = 0
24159 read(0, <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x326d000) = 0x326d000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x328e000) = 0x328e000
24165 brk(0x32af000) = 0x32af000
24165 brk(0x32db000) = 0x32db000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x3300000) = 0x3300000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x3324000) = 0x3324000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x3346000) = 0x3346000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x3368000) = 0x3368000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x338a000) = 0x338a000
24165 brk(0x33ac000) = 0x33ac000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x33cd000) = 0x33cd000
24165 brk(0x33ef000) = 0x33ef000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x3410000) = 0x3410000
24165 brk(0x3439000) = 0x3439000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x3464000) = 0x3464000
24165 brk(0x3485000) = 0x3485000
24165 brk(0x34a6000) = 0x34a6000
24165 brk(0x34c7000) = 0x34c7000
24165 brk(0x34e8000) = 0x34e8000
24165 brk(0x3509000) = 0x3509000
24165 brk(0x352a000) = 0x352a000
24165 brk(0x354b000) = 0x354b000
24165 brk(0x356c000) = 0x356c000
24165 brk(0x358d000) = 0x358d000
24165 brk(0x35af000) = 0x35af000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 brk(0x35d0000) = 0x35d0000
24165 brk(0x35f1000) = 0x35f1000
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24165 futex(0x315179c, FUTEX_WAIT_PRIVATE, 1, NULL <unfinished ...>
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 <... read resumed> "\4", 1) = 1
24159 rt_sigprocmask(SIG_BLOCK, [INT], [], 8) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig -icanon -echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_STOP or TCSETSW, {B38400 opost isig icanon echo ...}) = 0
24159 ioctl(0, SNDCTL_TMR_TIMEBASE or TCGETS, {B38400 opost isig icanon echo ...}) = 0
24159 rt_sigprocmask(SIG_SETMASK, [], NULL, 8) = 0
24159 rt_sigaction(SIGINT, {0x5fc4a0, ~[KILL STOP RTMIN RT_1], SA_RESTORER, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGTERM, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGQUIT, {0xce61a0, [], SA_RESTORER|SA_NODEFER|SA_RESETHAND, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGALRM, {SIG_DFL, [], SA_RESTORER, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGTSTP, {SIG_DFL, [], SA_RESTORER, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGTTOU, {SIG_DFL, [], SA_RESTORER, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGTTIN, {SIG_DFL, [], SA_RESTORER, 0x7f12afe3c990}, {0x7f12ac46ed10, [], SA_RESTORER, 0x7f12afe3c990}, 8) = 0
24159 rt_sigaction(SIGWINCH, {SIG_DFL, [], SA_RESTORER, 0x7f12afe3c990}, {0x7f12ac46ebf0, [], SA_RESTORER|SA_RESTART, 0x7f12afe3c990}, 8) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 close(3) = 0
24159 futex(0x315179c, FUTEX_WAKE_OP_PRIVATE, 1, 1, 0x3151798, {FUTEX_OP_SET, 0, FUTEX_OP_CMP_GT, 1}) = 1
24165 <... futex resumed> ) = 0
24165 futex(0x3151760, FUTEX_WAKE_PRIVATE, 1 <unfinished ...>
24159 futex(0x7f12ad08e9e0, FUTEX_WAIT, 24165, NULL <unfinished ...>
24165 <... futex resumed> ) = 0
24165 _exit(0) = ?
24159 <... futex resumed> ) = 0
24159 munmap(0x7f12adadc000, 10518528) = 0
24160 <... nanosleep resumed> 0x7f12adadb0a0) = 0
24160 nanosleep({0, 10000000}, <unfinished ...>
24159 munmap(0x7f12ae4e4000, 1576960) = 0
24159 munmap(0x7f12ae665000, 1576960) = 0
24159 munmap(0x7f12ae7e6000, 3149824) = 0
24159 munmap(0x7f12b0223000, 167936) = 0
24159 exit_group(0) = ?
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment