Skip to content

Instantly share code, notes, and snippets.

@neggert
Created February 22, 2013 16:55
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save neggert/5014872 to your computer and use it in GitHub Desktop.
Save neggert/5014872 to your computer and use it in GitHub Desktop.
===================== glite-job-logging-info Success =====================
LOGGING INFORMATION:
Printing info for the Job : https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A
---
Event: RegJob
- Arrived = Thu Feb 21 13:54:15 2013 CST
- Host = wms309.cern.ch
- Jobtype = SIMPLE
- Level = SYSTEM
- Ns = https://wms309.cern.ch:7443/glite_wms_wmproxy_server
- Nsubjobs = 0
- Parent = https://wms309.cern.ch:9000/o_baCg6IHae7m6SXtpFsoQ
- Priority = synchronous
- Seqcode = UI=000000:NS=0000000001:WM=000000:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = NetworkServer
- Src instance = https://wms309.cern.ch:7443/glite_wms_wmproxy_server
- Timestamp = Thu Feb 21 13:54:15 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717
- Jdl =
[
RetryCount = 0;
edg_jobid = "https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A";
Arguments = "1 2";
NodeName = "NodeName_neggert_ww_pythia_9q5pm1_job1";
CertificateSubject = "/DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717";
MyProxyServer = "myproxy.cern.ch";
JobType = "normal";
Executable = "CMSSW.sh";
VirtualOrganisation = "cms";
InputSandbox = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/default.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/arguments.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/CMSSW.sh" };
StdOutput = "CMSSW_1.stdout";
ShallowRetryCount = -1;
VOMS_FQAN = "/cms/Role=NULL/Capability=NULL";
InputSandboxDestFileName = { "default.tgz","arguments.xml","CMSSW.sh" };
OutputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/output";
requirements = ( ( Member("VO-cms-CMSSW_5_3_2_patch4",other.GlueHostApplicationSoftwareRunTimeEnvironment) && Member("VO-cms-slc5_amd64_gcc462",other.GlueHostApplicationSoftwareRunTimeEnvironment) && ( other.GlueHostNetworkAdapterOutboundIP ) && other.GlueCEStateStatus == "Production" && other.GlueCEPolicyMaxCPUTime >= 130 && ( Member("heplnx204.pp.rl.ac.uk",other.GlueCESEBindGroupSEUniqueID) ) && ( ( !RegExp("cmsosgce4.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream04.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("lcgce10.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce08.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("saxon.hosted.ats.ucla.edu",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-1-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream06.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-3-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce09-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce2.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli05.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("ce10.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce04-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce07-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-8-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-7-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("se2.accre.vanderbilt.edu",other.GlueCEUniqueId) ) && ( !RegExp("ce09.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("lcgce11.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-5-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("lcgce02.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce06-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce11.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce07.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("cream05.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-4-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce08-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-2-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli06.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-6-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce01-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce05-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );
rank = -other.GlueCEStateEstimatedResponseTime;
Type = "job";
OutputSandboxBaseDestURI = "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1";
OutputSandboxDestURI = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/out_files_1.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/crab_fjr_1.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/.BrokerInfo" };
StdError = "CMSSW_1.stderr";
DefaultRank = -other.GlueCEStateEstimatedResponseTime;
WMPInputSandboxBaseURI = "gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A";
X509UserProxy = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/user.proxy";
InputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input";
OutputSandbox = { "out_files_1.tgz","crab_fjr_1.xml",".BrokerInfo" }
]
---
Event: EnQueued
- Arrived = Thu Feb 21 13:54:18 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Queue = /var/workload_manager/jobdir
- Result = OK
- Seqcode = UI=000000:NS=0000000003:WM=000003:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = NetworkServer
- Src instance = 23902
- Timestamp = Thu Feb 21 13:54:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
- Job =
[
RetryCount = 0;
edg_jobid = "https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A";
lrms_type = "pbs";
CEInfoHostName = "heplnx208.pp.rl.ac.uk";
Arguments = "1 2";
NodeName = "NodeName_neggert_ww_pythia_9q5pm1_job1";
CertificateSubject = "/DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717";
MyProxyServer = "myproxy.cern.ch";
ce_id = "heplnx208.pp.rl.ac.uk:8443/cream-pbs-grid";
QueueName = "grid";
JobType = "normal";
Executable = "CMSSW.sh";
VirtualOrganisation = "cms";
InputSandbox = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/default.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/arguments.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/CMSSW.sh","gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input/.BrokerInfo" };
StdOutput = "CMSSW_1.stdout";
ShallowRetryCount = -1;
VOMS_FQAN = "/cms/Role=NULL/Capability=NULL";
InputSandboxDestFileName = { "default.tgz","arguments.xml","CMSSW.sh" };
OutputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/output";
requirements = ( ( Member("VO-cms-CMSSW_5_3_2_patch4",other.GlueHostApplicationSoftwareRunTimeEnvironment) && Member("VO-cms-slc5_amd64_gcc462",other.GlueHostApplicationSoftwareRunTimeEnvironment) && ( other.GlueHostNetworkAdapterOutboundIP ) && other.GlueCEStateStatus == "Production" && other.GlueCEPolicyMaxCPUTime >= 130 && ( Member("heplnx204.pp.rl.ac.uk",other.GlueCESEBindGroupSEUniqueID) ) && ( ( !RegExp("cmsosgce4.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream04.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("lcgce10.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce08.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("saxon.hosted.ats.ucla.edu",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-1-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream06.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-3-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce09-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce2.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli05.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("ce10.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce04-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce07-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-8-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-7-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("se2.accre.vanderbilt.edu",other.GlueCEUniqueId) ) && ( !RegExp("ce09.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("lcgce11.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-5-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("lcgce02.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce06-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce11.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce07.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("cream05.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-4-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce08-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-2-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli06.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-6-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce01-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce05-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );
rank = -other.GlueCEStateEstimatedResponseTime;
Type = "job";
OutputSandboxBaseDestURI = "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1";
OutputSandboxDestURI = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/out_files_1.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/crab_fjr_1.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/.BrokerInfo" };
StdError = "CMSSW_1.stderr";
DefaultRank = -other.GlueCEStateEstimatedResponseTime;
WMPInputSandboxBaseURI = "gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A";
X509UserProxy = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/user.proxy";
GlobusResourceContactString = "heplnx208.pp.rl.ac.uk:8443/cream-pbs";
InputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input";
OutputSandbox = { "out_files_1.tgz","crab_fjr_1.xml",".BrokerInfo" }
]
---
Event: Match
- Arrived = Thu Feb 21 13:54:18 2013 CST
- Dest id = heplnx208.pp.rl.ac.uk:8443/cream-pbs-grid
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Seqcode = UI=000000:NS=0000000004:WM=000003:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = WorkloadManager
- Src instance = 23902
- Timestamp = Thu Feb 21 13:54:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
---
Event: UserTag
- Arrived = Thu Feb 21 13:54:18 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Name = CEInfoHostName
- Priority = synchronous
- Seqcode = UI=000000:NS=0000000004:WM=000004:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = WorkloadManager
- Src instance = 23902
- Timestamp = Thu Feb 21 13:54:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
- Value = heplnx208.pp.rl.ac.uk
---
Event: EnQueued
- Arrived = Thu Feb 21 13:54:18 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Queue = /var/ice/jobdir
- Result = START
- Seqcode = UI=000000:NS=0000000004:WM=000005:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = WorkloadManager
- Src instance = 23902
- Timestamp = Thu Feb 21 13:54:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
---
Event: EnQueued
- Arrived = Thu Feb 21 13:54:18 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Queue = /var/ice/jobdir
- Result = OK
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = WorkloadManager
- Src instance = 23902
- Timestamp = Thu Feb 21 13:54:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
- Job =
[
Arguments =
[
JobAd =
[
RetryCount = 0;
LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";
ReallyRunningToken = "";
edg_jobid = "https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A";
lrms_type = "pbs";
CEInfoHostName = "heplnx208.pp.rl.ac.uk";
Arguments = "1 2";
NodeName = "NodeName_neggert_ww_pythia_9q5pm1_job1";
CertificateSubject = "/DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717";
MyProxyServer = "myproxy.cern.ch";
ce_id = "heplnx208.pp.rl.ac.uk:8443/cream-pbs-grid";
QueueName = "grid";
JobType = "normal";
Executable = "CMSSW.sh";
VirtualOrganisation = "cms";
InputSandbox = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/default.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/arguments.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/CMSSW.sh","gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input/.BrokerInfo" };
StdOutput = "CMSSW_1.stdout";
ShallowRetryCount = -1;
InputSandboxDestFileName = { "default.tgz","arguments.xml","CMSSW.sh" };
VOMS_FQAN = "/cms/Role=NULL/Capability=NULL";
OutputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/output";
requirements = ( ( Member("VO-cms-CMSSW_5_3_2_patch4",other.GlueHostApplicationSoftwareRunTimeEnvironment) && Member("VO-cms-slc5_amd64_gcc462",other.GlueHostApplicationSoftwareRunTimeEnvironment) && ( other.GlueHostNetworkAdapterOutboundIP ) && other.GlueCEStateStatus == "Production" && other.GlueCEPolicyMaxCPUTime >= 130 && ( Member("heplnx204.pp.rl.ac.uk",other.GlueCESEBindGroupSEUniqueID) ) && ( ( !RegExp("cmsosgce4.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream04.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("lcgce10.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce08.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("saxon.hosted.ats.ucla.edu",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-1-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream06.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-3-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce09-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce2.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli05.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("ce10.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce04-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce07-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-8-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-7-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("se2.accre.vanderbilt.edu",other.GlueCEUniqueId) ) && ( !RegExp("ce09.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("lcgce11.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-5-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("lcgce02.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce06-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce11.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce07.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("cream05.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-4-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce08-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-2-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli06.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-6-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce01-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce05-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );
rank = -other.GlueCEStateEstimatedResponseTime;
Type = "job";
OutputSandboxBaseDestURI = "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1";
OutputSandboxDestURI = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/out_files_1.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/crab_fjr_1.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/.BrokerInfo" };
StdError = "CMSSW_1.stderr";
DefaultRank = -other.GlueCEStateEstimatedResponseTime;
WMPInputSandboxBaseURI = "gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A";
X509UserProxy = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/user.proxy";
GlobusResourceContactString = "heplnx208.pp.rl.ac.uk:8443/cream-pbs";
InputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input";
OutputSandbox = { "out_files_1.tgz","crab_fjr_1.xml",".BrokerInfo" }
]
];
Command = "Submit";
Source = 2;
Protocol = "1.0.0"
]
---
Event: DeQueued
- Arrived = Thu Feb 21 13:54:19 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Local jobid = https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A
- Priority = synchronous
- Queue = /var/ice/jobdir
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000001:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = JobController
- Timestamp = Thu Feb 21 13:54:19 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
---
Event: Transfer
- Arrived = Thu Feb 21 13:54:19 2013 CST
- Dest host = https://heplnx208.pp.rl.ac.uk:8443/ce-cream/services/CREAM2
- Dest instance = unavailable
- Dest jobid = unavailable
- Destination = LRMS
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Reason = unavailable
- Result = START
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000001:LRMS=000000:APP=000000:LBS=000000
- Source = LogMonitor
- Timestamp = Thu Feb 21 13:54:19 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
- Job =
[
RetryCount = 0;
LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000000:LRMS=000000:APP=000000:LBS=000000";
ReallyRunningToken = "";
edg_jobid = "https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A";
lrms_type = "pbs";
CEInfoHostName = "heplnx208.pp.rl.ac.uk";
Arguments = "1 2";
NodeName = "NodeName_neggert_ww_pythia_9q5pm1_job1";
CertificateSubject = "/DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717";
MyProxyServer = "myproxy.cern.ch";
ce_id = "heplnx208.pp.rl.ac.uk:8443/cream-pbs-grid";
QueueName = "grid";
JobType = "normal";
Executable = "CMSSW.sh";
VirtualOrganisation = "cms";
InputSandbox = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/default.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/arguments.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/CMSSW.sh","gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input/.BrokerInfo" };
StdOutput = "CMSSW_1.stdout";
ShallowRetryCount = -1;
VOMS_FQAN = "/cms/Role=NULL/Capability=NULL";
InputSandboxDestFileName = { "default.tgz","arguments.xml","CMSSW.sh" };
OutputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/output";
requirements = ( ( Member("VO-cms-CMSSW_5_3_2_patch4",other.GlueHostApplicationSoftwareRunTimeEnvironment) && Member("VO-cms-slc5_amd64_gcc462",other.GlueHostApplicationSoftwareRunTimeEnvironment) && ( other.GlueHostNetworkAdapterOutboundIP ) && other.GlueCEStateStatus == "Production" && other.GlueCEPolicyMaxCPUTime >= 130 && ( Member("heplnx204.pp.rl.ac.uk",other.GlueCESEBindGroupSEUniqueID) ) && ( ( !RegExp("cmsosgce4.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream04.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("lcgce10.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce08.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("saxon.hosted.ats.ucla.edu",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-1-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream06.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-3-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce09-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce2.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli05.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("ce10.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce04-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce07-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-8-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-7-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("se2.accre.vanderbilt.edu",other.GlueCEUniqueId) ) && ( !RegExp("ce09.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("lcgce11.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-5-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("lcgce02.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce06-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce11.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce07.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("cream05.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-4-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce08-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-2-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli06.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-6-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce01-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce05-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );
rank = -other.GlueCEStateEstimatedResponseTime;
Type = "job";
OutputSandboxBaseDestURI = "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1";
OutputSandboxDestURI = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/out_files_1.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/crab_fjr_1.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/.BrokerInfo" };
StdError = "CMSSW_1.stderr";
DefaultRank = -other.GlueCEStateEstimatedResponseTime;
WMPInputSandboxBaseURI = "gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A";
X509UserProxy = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/user.proxy";
GlobusResourceContactString = "heplnx208.pp.rl.ac.uk:8443/cream-pbs";
InputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input";
OutputSandbox = { "out_files_1.tgz","crab_fjr_1.xml",".BrokerInfo" }
]
---
Event: Transfer
- Arrived = Thu Feb 21 13:54:21 2013 CST
- Dest host = https://heplnx208.pp.rl.ac.uk:8443/ce-cream/services/CREAM2
- Dest instance = unavailable
- Dest jobid = https://heplnx208.pp.rl.ac.uk:8443/CREAM808996462
- Destination = LRMS
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Reason = unavailable
- Result = OK
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000003:LRMS=000000:APP=000000:LBS=000000
- Source = LogMonitor
- Timestamp = Thu Feb 21 13:54:21 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
- Job =
[
RetryCount = 0;
LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000";
ReallyRunningToken = "";
edg_jobid = "https://wms309.cern.ch:9000/EHTWzFN0kL288AvLiTVx9A";
lrms_type = "pbs";
CEInfoHostName = "heplnx208.pp.rl.ac.uk";
Arguments = "1 2";
NodeName = "NodeName_neggert_ww_pythia_9q5pm1_job1";
CertificateSubject = "/DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717";
MyProxyServer = "myproxy.cern.ch";
ce_id = "heplnx208.pp.rl.ac.uk:8443/cream-pbs-grid";
QueueName = "grid";
JobType = "normal";
Executable = "CMSSW.sh";
VirtualOrganisation = "cms";
InputSandbox = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/default.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/arguments.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/CMSSW.sh","gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input/.BrokerInfo" };
StdOutput = "CMSSW_1.stdout";
ShallowRetryCount = -1;
VOMS_FQAN = "/cms/Role=NULL/Capability=NULL";
InputSandboxDestFileName = { "default.tgz","arguments.xml","CMSSW.sh" };
OutputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/output";
requirements = ( ( Member("VO-cms-CMSSW_5_3_2_patch4",other.GlueHostApplicationSoftwareRunTimeEnvironment) && Member("VO-cms-slc5_amd64_gcc462",other.GlueHostApplicationSoftwareRunTimeEnvironment) && ( other.GlueHostNetworkAdapterOutboundIP ) && other.GlueCEStateStatus == "Production" && other.GlueCEPolicyMaxCPUTime >= 130 && ( Member("heplnx204.pp.rl.ac.uk",other.GlueCESEBindGroupSEUniqueID) ) && ( ( !RegExp("cmsosgce4.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream04.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("lcgce10.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce08.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("saxon.hosted.ats.ucla.edu",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-1-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream06.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-3-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce09-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cmsosgce2.fnal.gov",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli05.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("ce10.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce04-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce07-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-8-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-7-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("se2.accre.vanderbilt.edu",other.GlueCEUniqueId) ) && ( !RegExp("ce09.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("lcgce11.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-5-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("lcgce02.gridpp.rl.ac.uk",other.GlueCEUniqueId) ) && ( !RegExp("ce06-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce11.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("ce07.pic.es",other.GlueCEUniqueId) ) && ( !RegExp("cream05.grid.sinica.edu.tw",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-4-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce08-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-2-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("cccreamceli06.in2p3.fr",other.GlueCEUniqueId) ) && ( !RegExp("cream-ge-6-kit.gridka.de",other.GlueCEUniqueId) ) && ( !RegExp("ce01-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) && ( !RegExp("ce05-lcg.cr.cnaf.infn.it",other.GlueCEUniqueId) ) ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );
rank = -other.GlueCEStateEstimatedResponseTime;
Type = "job";
OutputSandboxBaseDestURI = "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1";
OutputSandboxDestURI = { "gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/out_files_1.tgz","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/crab_fjr_1.xml","gsiftp://vocms21.cern.ch/data01/CSstoragePath/neggert_ww_pythia_9q5pm1/.BrokerInfo" };
StdError = "CMSSW_1.stderr";
DefaultRank = -other.GlueCEStateEstimatedResponseTime;
WMPInputSandboxBaseURI = "gsiftp://wms309.cern.ch:2811/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A";
X509UserProxy = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/user.proxy";
GlobusResourceContactString = "heplnx208.pp.rl.ac.uk:8443/cream-pbs";
InputSandboxPath = "/var/SandboxDir/EH/https_3a_2f_2fwms309.cern.ch_3a9000_2fEHTWzFN0kL288AvLiTVx9A/input";
OutputSandbox = { "out_files_1.tgz","crab_fjr_1.xml",".BrokerInfo" }
]
---
Event: Running
- Arrived = Thu Feb 21 14:00:18 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Node = heplnc307.pp.rl.ac.uk
- Priority = synchronous
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000005:LRMS=000000:APP=000000:LBS=000000
- Source = LogMonitor
- Timestamp = Thu Feb 21 14:00:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
---
Event: ReallyRunning
- Arrived = Thu Feb 21 14:00:18 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000007:LRMS=000000:APP=000000:LBS=000000
- Source = LogMonitor
- Timestamp = Thu Feb 21 14:00:18 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
- Wn seq = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000
---
Event: Done
- Arrived = Thu Feb 21 16:20:53 2013 CST
- Exit code = 157
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Reason = Job Terminated Successfully
- Seqcode = UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000009:LRMS=000000:APP=000000:LBS=000000
- Source = LogMonitor
- Status code = OK
- Timestamp = Thu Feb 21 16:20:53 2013 CST
- User = /DC=org/DC=doegrids/OU=People/CN=Nicholas S Eggert 114717/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy/CN=proxy
---
Event: Clear
- Arrived = Thu Feb 21 21:46:17 2013 CST
- Host = wms309.cern.ch
- Level = SYSTEM
- Priority = synchronous
- Reason = 1
- Seqcode = UI=000009:NS=0000096670:WM=000000:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000
- Source = NetworkServer
- Src instance = 19204
- Timestamp = Thu Feb 21 21:46:17 2013 CST
- User = /DC=ch/DC=cern/OU=computers/CN=wms309.cern.ch/CN=1811410882
==========================================================================
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment