Skip to content

Instantly share code, notes, and snippets.

@neosarchizo
neosarchizo / main.py
Created November 26, 2022 05:31
MicroPython - RPi Pico W 비동기 서버로 LED 제어하기
from network import WLAN, STA_IF
from time import sleep
import socket
from machine import Pin
import uasyncio as asyncio
SSID = 'devicemart'
PASSWORD = 'devicemart1'
HTML = '''<!DOCTYPE html>
@neosarchizo
neosarchizo / main.py
Created November 25, 2022 03:59
MicroPython - RPi Pico W 서버로 LED 제어하기
from network import WLAN, STA_IF
from time import sleep
import socket
from machine import Pin
led = Pin(18, Pin.OUT)
led.value(0)
SSID = 'devicemart'
PASSWORD = 'devicemart1'
@neosarchizo
neosarchizo / main.py
Created November 24, 2022 04:45
MicroPython - RPi Pico W 서버 실행하기
from network import WLAN, STA_IF
from time import sleep
import socket
SSID = 'devicemart'
PASSWORD = 'devicemart1'
HTML = '''<!DOCTYPE html>
<html>
<head>
@neosarchizo
neosarchizo / main_0.py
Created November 23, 2022 04:43
MicroPython - RPi Pico W HTTP 통신하기
from network import WLAN, STA_IF
from time import sleep
import socket
SSID = 'devicemart'
PASSWORD = 'devicemart1'
wlan = WLAN(STA_IF)
wlan.active(True)
wlan.connect(SSID, PASSWORD)
@neosarchizo
neosarchizo / main.py
Created November 23, 2022 03:46
MicroPython - RPi Pico W WiFi 사용하기
from network import WLAN, STA_IF
from time import sleep
SSID = 'devicemart'
PASSWORD = 'devicemart1'
wlan = WLAN(STA_IF)
wlan.active(True)
wlan.connect(SSID, PASSWORD)
@neosarchizo
neosarchizo / main.py
Last active November 15, 2022 08:26
MicroPython - ADC 제어
from machine import Pin, SoftI2C, ADC, Timer
from ssd1306 import SSD1306_I2C
i2c = SoftI2C(sda=Pin(13), scl=Pin(14))
display = SSD1306_I2C(128, 64, i2c, addr=0x3C)
adc = ADC(Pin(36))
def on_timeout(_):
display.fill(0)
display.text('DeviceMart', 0, 0)
@neosarchizo
neosarchizo / main.py
Last active November 14, 2022 07:53
MicroPython - RTC 제어
from machine import Pin, SoftI2C, RTC, Timer
from ssd1306 import SSD1306_I2C
WEEK = ['Mon', 'Tue', 'Wed', 'Thu', 'Fri', 'Sat', 'Sun']
# Mon ~ Sun : 0 ~ 6
# ex) 01:20:05
pads = ['', '', '']
i2c = SoftI2C(sda=Pin(13), scl=Pin(14))
@neosarchizo
neosarchizo / dslogic_test_4.ino
Created November 14, 2022 03:53
DSLogic - 네오픽셀 디버깅하기
#include <Adafruit_NeoPixel.h>
#ifdef __AVR__
#include <avr/power.h>
#endif
#define PIN 6
#define NUMPIXELS 16
Adafruit_NeoPixel pixels(NUMPIXELS, PIN, NEO_GRB + NEO_KHZ800);
@neosarchizo
neosarchizo / dslogic_test_3.ino
Created November 11, 2022 08:03
DSLogic - I2C 디버깅하기
#include <cm1106_i2c.h>
CM1106_I2C cm1106_i2c;
void setup() {
cm1106_i2c.begin();
delay(1000);
}
void loop() {
@neosarchizo
neosarchizo / main.py
Last active November 11, 2022 05:05
MicroPython - I2C OLED 디스플레이 제어
from machine import SoftI2C, Pin
from ssd1306 import SSD1306_I2C
i2c = SoftI2C(sda=Pin(13), scl=Pin(14))
display = SSD1306_I2C(128, 64, i2c, addr=0x3C)
# 0 : OFF/BLACK, 1 : ON/WHITE
display.fill(0)
display.show()