Skip to content

Instantly share code, notes, and snippets.

@nevercast
Created June 23, 2020 05:15
Show Gist options
  • Save nevercast/c5216b874122cc4f81b38d2bfe56bf25 to your computer and use it in GitHub Desktop.
Save nevercast/c5216b874122cc4f81b38d2bfe56bf25 to your computer and use it in GitHub Desktop.
As requested
{
"creator": "Yosys 0.9+2406 (git sha1 97f3a7fc, clang 10.0.0-4ubuntu1 -fPIC -Os)",
"modules": {
"ICESTORM_LC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2032.1-2316.10"
},
"parameter_default_values": {
"ASYNC_SR": "0",
"CARRY_ENABLE": "0",
"CIN_CONST": "0",
"CIN_SET": "0",
"DFF_ENABLE": "0",
"LUT_INIT": "0000000000000000",
"NEG_CLK": "0",
"SET_NORESET": "0"
},
"ports": {
"I0": {
"direction": "input",
"bits": [ 2 ]
},
"I1": {
"direction": "input",
"bits": [ 3 ]
},
"I2": {
"direction": "input",
"bits": [ 4 ]
},
"I3": {
"direction": "input",
"bits": [ 5 ]
},
"CIN": {
"direction": "input",
"bits": [ 6 ]
},
"CLK": {
"direction": "input",
"bits": [ 7 ]
},
"CEN": {
"direction": "input",
"bits": [ 8 ]
},
"SR": {
"direction": "input",
"bits": [ 9 ]
},
"LO": {
"direction": "output",
"bits": [ 10 ]
},
"O": {
"direction": "output",
"bits": [ 11 ]
},
"COUT": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
"$specify$151": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000001101001",
"T_FALL_MIN": "00000000000000000000000001010101",
"T_FALL_TYP": "00000000000000000000000001011110",
"T_RISE_MAX": "00000000000000000000000001111110",
"T_RISE_MIN": "00000000000000000000000001100101",
"T_RISE_TYP": "00000000000000000000000001110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2127.2-2127.43"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 6 ]
}
},
"$specify$152": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000100110110",
"T_FALL_TYP": "00000000000000000000000101010111",
"T_RISE_MAX": "00000000000000000000000111000001",
"T_RISE_MIN": "00000000000000000000000101101001",
"T_RISE_TYP": "00000000000000000000000110001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2129.2-2129.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
},
"$specify$153": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000100110110",
"T_FALL_TYP": "00000000000000000000000101010111",
"T_RISE_MAX": "00000000000000000000000101101101",
"T_RISE_MIN": "00000000000000000000000100100101",
"T_RISE_TYP": "00000000000000000000000101000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2131.2-2131.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
},
"$specify$154": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000011110101",
"T_FALL_MIN": "00000000000000000000000011000101",
"T_FALL_TYP": "00000000000000000000000011011010",
"T_RISE_MAX": "00000000000000000000000100000011",
"T_RISE_MIN": "00000000000000000000000011010001",
"T_RISE_TYP": "00000000000000000000000011100111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2133.2-2133.44"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$155": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000100110000",
"T_FALL_TYP": "00000000000000000000000101010001",
"T_RISE_MAX": "00000000000000000000000110010000",
"T_RISE_MIN": "00000000000000000000000101000001",
"T_RISE_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2135.2-2135.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$156": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000100110000",
"T_FALL_TYP": "00000000000000000000000101010001",
"T_RISE_MAX": "00000000000000000000000101000011",
"T_RISE_MIN": "00000000000000000000000100000011",
"T_RISE_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.2-2137.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$157": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000010000101",
"T_FALL_MIN": "00000000000000000000000001101011",
"T_FALL_TYP": "00000000000000000000000001110110",
"T_RISE_MAX": "00000000000000000000000011100111",
"T_RISE_MIN": "00000000000000000000000010111010",
"T_RISE_TYP": "00000000000000000000000011001110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2139.2-2139.44"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$158": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101011111",
"T_FALL_MIN": "00000000000000000000000100011010",
"T_FALL_TYP": "00000000000000000000000100111000",
"T_RISE_MAX": "00000000000000000000000101111011",
"T_RISE_MIN": "00000000000000000000000100110000",
"T_RISE_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2141.2-2141.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$159": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000011100111",
"T_FALL_TYP": "00000000000000000000000100000000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000011111110",
"T_RISE_TYP": "00000000000000000000000100011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2143.2-2143.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$160": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000011100111",
"T_FALL_TYP": "00000000000000000000000100000000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000011111110",
"T_RISE_TYP": "00000000000000000000000100011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2145.2-2145.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$161": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100010010",
"T_FALL_MIN": "00000000000000000000000011011100",
"T_FALL_TYP": "00000000000000000000000011110011",
"T_RISE_MAX": "00000000000000000000000100001011",
"T_RISE_MIN": "00000000000000000000000011010110",
"T_RISE_TYP": "00000000000000000000000011101101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2147.2-2147.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$162": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000000110110010",
"T_FALL_TYP": "00000000000000000000000111100000",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000000110110010",
"T_RISE_TYP": "00000000000000000000000111100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2149.2-2149.59"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x" ],
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 7 ]
}
},
"$specify$163": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000000111100010",
"T_FALL_TYP": "00000000000000000000001000010101",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000000111100010",
"T_RISE_TYP": "00000000000000000000001000010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2151.2-2151.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 9 ]
}
},
"$specify$164": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000111010110",
"T_LIMIT_MIN": "00000000000000000000000101111010",
"T_LIMIT_TYP": "00000000000000000000000110100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2153.2-2153.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$165": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2155.2-2155.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$166": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000111010110",
"T_LIMIT_MIN": "00000000000000000000000101111010",
"T_LIMIT_TYP": "00000000000000000000000110100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2156.2-2156.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$167": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2157.2-2157.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$168": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2159.2-2159.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$169": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101111011",
"T_LIMIT_MIN": "00000000000000000000000100110000",
"T_LIMIT_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2161.2-2161.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$170": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2162.2-2162.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$171": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101111011",
"T_LIMIT_MIN": "00000000000000000000000100110000",
"T_LIMIT_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2163.2-2163.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$172": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101110100",
"T_LIMIT_MIN": "00000000000000000000000100101011",
"T_LIMIT_TYP": "00000000000000000000000101001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2165.2-2165.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$173": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101000011",
"T_LIMIT_MIN": "00000000000000000000000100000011",
"T_LIMIT_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2167.2-2167.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$174": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101110100",
"T_LIMIT_MIN": "00000000000000000000000100101011",
"T_LIMIT_TYP": "00000000000000000000000101001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2168.2-2168.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$175": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101000011",
"T_LIMIT_MIN": "00000000000000000000000100000011",
"T_LIMIT_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2169.2-2169.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$176": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000011011100",
"T_LIMIT_TYP": "00000000000000000000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2171.2-2171.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$177": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011011001",
"T_LIMIT_MIN": "00000000000000000000000010101111",
"T_LIMIT_TYP": "00000000000000000000000010110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2173.2-2173.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$178": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000011011100",
"T_LIMIT_TYP": "00000000000000000000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2174.2-2174.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$179": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011011001",
"T_LIMIT_MIN": "00000000000000000000000010101111",
"T_LIMIT_TYP": "00000000000000000000000010110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2175.2-2175.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$180": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2177.2-2177.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 8 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$181": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2179.2-2179.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 8 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$182": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000010100011",
"T_LIMIT_TYP": "00000000000000000000000010110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2181.2-2181.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$183": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010001100",
"T_LIMIT_MIN": "00000000000000000000000001110001",
"T_LIMIT_TYP": "00000000000000000000000001111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2183.2-2183.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$184": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000010100011",
"T_LIMIT_TYP": "00000000000000000000000010110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2184.2-2184.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$185": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010001100",
"T_LIMIT_MIN": "00000000000000000000000001110001",
"T_LIMIT_TYP": "00000000000000000000000001111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2185.2-2185.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
}
},
"netnames": {
"CEN": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.34-2033.37"
}
},
"CIN": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.24-2033.27"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.29-2033.32"
}
},
"COUT": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2036.9-2036.13"
}
},
"I0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.8-2033.10"
}
},
"I1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.12-2033.14"
}
},
"I2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.16-2033.18"
}
},
"I3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.20-2033.22"
}
},
"LO": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2034.9-2034.11"
}
},
"O": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2035.9-2035.10"
}
},
"SR": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2033.39-2033.41"
}
}
}
},
"ICESTORM_RAM": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3063.1-3398.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"NEG_CLK_R": "0",
"NEG_CLK_W": "0",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA_15": {
"direction": "output",
"bits": [ 2 ]
},
"RDATA_14": {
"direction": "output",
"bits": [ 3 ]
},
"RDATA_13": {
"direction": "output",
"bits": [ 4 ]
},
"RDATA_12": {
"direction": "output",
"bits": [ 5 ]
},
"RDATA_11": {
"direction": "output",
"bits": [ 6 ]
},
"RDATA_10": {
"direction": "output",
"bits": [ 7 ]
},
"RDATA_9": {
"direction": "output",
"bits": [ 8 ]
},
"RDATA_8": {
"direction": "output",
"bits": [ 9 ]
},
"RDATA_7": {
"direction": "output",
"bits": [ 10 ]
},
"RDATA_6": {
"direction": "output",
"bits": [ 11 ]
},
"RDATA_5": {
"direction": "output",
"bits": [ 12 ]
},
"RDATA_4": {
"direction": "output",
"bits": [ 13 ]
},
"RDATA_3": {
"direction": "output",
"bits": [ 14 ]
},
"RDATA_2": {
"direction": "output",
"bits": [ 15 ]
},
"RDATA_1": {
"direction": "output",
"bits": [ 16 ]
},
"RDATA_0": {
"direction": "output",
"bits": [ 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR_10": {
"direction": "input",
"bits": [ 21 ]
},
"RADDR_9": {
"direction": "input",
"bits": [ 22 ]
},
"RADDR_8": {
"direction": "input",
"bits": [ 23 ]
},
"RADDR_7": {
"direction": "input",
"bits": [ 24 ]
},
"RADDR_6": {
"direction": "input",
"bits": [ 25 ]
},
"RADDR_5": {
"direction": "input",
"bits": [ 26 ]
},
"RADDR_4": {
"direction": "input",
"bits": [ 27 ]
},
"RADDR_3": {
"direction": "input",
"bits": [ 28 ]
},
"RADDR_2": {
"direction": "input",
"bits": [ 29 ]
},
"RADDR_1": {
"direction": "input",
"bits": [ 30 ]
},
"RADDR_0": {
"direction": "input",
"bits": [ 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR_10": {
"direction": "input",
"bits": [ 35 ]
},
"WADDR_9": {
"direction": "input",
"bits": [ 36 ]
},
"WADDR_8": {
"direction": "input",
"bits": [ 37 ]
},
"WADDR_7": {
"direction": "input",
"bits": [ 38 ]
},
"WADDR_6": {
"direction": "input",
"bits": [ 39 ]
},
"WADDR_5": {
"direction": "input",
"bits": [ 40 ]
},
"WADDR_4": {
"direction": "input",
"bits": [ 41 ]
},
"WADDR_3": {
"direction": "input",
"bits": [ 42 ]
},
"WADDR_2": {
"direction": "input",
"bits": [ 43 ]
},
"WADDR_1": {
"direction": "input",
"bits": [ 44 ]
},
"WADDR_0": {
"direction": "input",
"bits": [ 45 ]
},
"MASK_15": {
"direction": "input",
"bits": [ 46 ]
},
"MASK_14": {
"direction": "input",
"bits": [ 47 ]
},
"MASK_13": {
"direction": "input",
"bits": [ 48 ]
},
"MASK_12": {
"direction": "input",
"bits": [ 49 ]
},
"MASK_11": {
"direction": "input",
"bits": [ 50 ]
},
"MASK_10": {
"direction": "input",
"bits": [ 51 ]
},
"MASK_9": {
"direction": "input",
"bits": [ 52 ]
},
"MASK_8": {
"direction": "input",
"bits": [ 53 ]
},
"MASK_7": {
"direction": "input",
"bits": [ 54 ]
},
"MASK_6": {
"direction": "input",
"bits": [ 55 ]
},
"MASK_5": {
"direction": "input",
"bits": [ 56 ]
},
"MASK_4": {
"direction": "input",
"bits": [ 57 ]
},
"MASK_3": {
"direction": "input",
"bits": [ 58 ]
},
"MASK_2": {
"direction": "input",
"bits": [ 59 ]
},
"MASK_1": {
"direction": "input",
"bits": [ 60 ]
},
"MASK_0": {
"direction": "input",
"bits": [ 61 ]
},
"WDATA_15": {
"direction": "input",
"bits": [ 62 ]
},
"WDATA_14": {
"direction": "input",
"bits": [ 63 ]
},
"WDATA_13": {
"direction": "input",
"bits": [ 64 ]
},
"WDATA_12": {
"direction": "input",
"bits": [ 65 ]
},
"WDATA_11": {
"direction": "input",
"bits": [ 66 ]
},
"WDATA_10": {
"direction": "input",
"bits": [ 67 ]
},
"WDATA_9": {
"direction": "input",
"bits": [ 68 ]
},
"WDATA_8": {
"direction": "input",
"bits": [ 69 ]
},
"WDATA_7": {
"direction": "input",
"bits": [ 70 ]
},
"WDATA_6": {
"direction": "input",
"bits": [ 71 ]
},
"WDATA_5": {
"direction": "input",
"bits": [ 72 ]
},
"WDATA_4": {
"direction": "input",
"bits": [ 73 ]
},
"WDATA_3": {
"direction": "input",
"bits": [ 74 ]
},
"WDATA_2": {
"direction": "input",
"bits": [ 75 ]
},
"WDATA_1": {
"direction": "input",
"bits": [ 76 ]
},
"WDATA_0": {
"direction": "input",
"bits": [ 77 ]
}
},
"cells": {
},
"netnames": {
"MASK_0": {
"hide_name": 0,
"bits": [ 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.135-3069.141"
}
},
"MASK_1": {
"hide_name": 0,
"bits": [ 60 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.127-3069.133"
}
},
"MASK_10": {
"hide_name": 0,
"bits": [ 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.54-3069.61"
}
},
"MASK_11": {
"hide_name": 0,
"bits": [ 50 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.45-3069.52"
}
},
"MASK_12": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.36-3069.43"
}
},
"MASK_13": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.27-3069.34"
}
},
"MASK_14": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.18-3069.25"
}
},
"MASK_15": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.9-3069.16"
}
},
"MASK_2": {
"hide_name": 0,
"bits": [ 59 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.119-3069.125"
}
},
"MASK_3": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.111-3069.117"
}
},
"MASK_4": {
"hide_name": 0,
"bits": [ 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.103-3069.109"
}
},
"MASK_5": {
"hide_name": 0,
"bits": [ 56 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.95-3069.101"
}
},
"MASK_6": {
"hide_name": 0,
"bits": [ 55 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.87-3069.93"
}
},
"MASK_7": {
"hide_name": 0,
"bits": [ 54 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.79-3069.85"
}
},
"MASK_8": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.71-3069.77"
}
},
"MASK_9": {
"hide_name": 0,
"bits": [ 52 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3069.63-3069.69"
}
},
"RADDR_0": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.100-3066.107"
}
},
"RADDR_1": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.91-3066.98"
}
},
"RADDR_10": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.9-3066.17"
}
},
"RADDR_2": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.82-3066.89"
}
},
"RADDR_3": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.73-3066.80"
}
},
"RADDR_4": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.64-3066.71"
}
},
"RADDR_5": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.55-3066.62"
}
},
"RADDR_6": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.46-3066.53"
}
},
"RADDR_7": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.37-3066.44"
}
},
"RADDR_8": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.28-3066.35"
}
},
"RADDR_9": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3066.19-3066.26"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3065.9-3065.13"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3065.15-3065.20"
}
},
"RDATA_0": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.150-3064.157"
}
},
"RDATA_1": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.141-3064.148"
}
},
"RDATA_10": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.59-3064.67"
}
},
"RDATA_11": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.49-3064.57"
}
},
"RDATA_12": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.39-3064.47"
}
},
"RDATA_13": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.29-3064.37"
}
},
"RDATA_14": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.19-3064.27"
}
},
"RDATA_15": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.9-3064.17"
}
},
"RDATA_2": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.132-3064.139"
}
},
"RDATA_3": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.123-3064.130"
}
},
"RDATA_4": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.114-3064.121"
}
},
"RDATA_5": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.105-3064.112"
}
},
"RDATA_6": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.96-3064.103"
}
},
"RDATA_7": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.87-3064.94"
}
},
"RDATA_8": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.78-3064.85"
}
},
"RDATA_9": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3064.69-3064.76"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3065.22-3065.24"
}
},
"WADDR_0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.100-3068.107"
}
},
"WADDR_1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.91-3068.98"
}
},
"WADDR_10": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.9-3068.17"
}
},
"WADDR_2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.82-3068.89"
}
},
"WADDR_3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.73-3068.80"
}
},
"WADDR_4": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.64-3068.71"
}
},
"WADDR_5": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.55-3068.62"
}
},
"WADDR_6": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.46-3068.53"
}
},
"WADDR_7": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.37-3068.44"
}
},
"WADDR_8": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.28-3068.35"
}
},
"WADDR_9": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3068.19-3068.26"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3067.9-3067.13"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3067.15-3067.20"
}
},
"WDATA_0": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.150-3070.157"
}
},
"WDATA_1": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.141-3070.148"
}
},
"WDATA_10": {
"hide_name": 0,
"bits": [ 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.59-3070.67"
}
},
"WDATA_11": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.49-3070.57"
}
},
"WDATA_12": {
"hide_name": 0,
"bits": [ 65 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.39-3070.47"
}
},
"WDATA_13": {
"hide_name": 0,
"bits": [ 64 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.29-3070.37"
}
},
"WDATA_14": {
"hide_name": 0,
"bits": [ 63 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.19-3070.27"
}
},
"WDATA_15": {
"hide_name": 0,
"bits": [ 62 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.9-3070.17"
}
},
"WDATA_2": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.132-3070.139"
}
},
"WDATA_3": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.123-3070.130"
}
},
"WDATA_4": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.114-3070.121"
}
},
"WDATA_5": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.105-3070.112"
}
},
"WDATA_6": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.96-3070.103"
}
},
"WDATA_7": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.87-3070.94"
}
},
"WDATA_8": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.78-3070.85"
}
},
"WDATA_9": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3070.69-3070.76"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3067.22-3067.24"
}
}
}
},
"SB_CARRY": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:212.1-244.10"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"CI": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$190": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.15-213.23"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 4 ],
"Y": [ 6 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$192": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.29-213.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 7 ],
"B": [ 5 ],
"Y": [ 8 ]
}
},
"$logic_or$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$191": {
"hide_name": 1,
"type": "$logic_or",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.30-213.38"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"B": [ 4 ],
"Y": [ 7 ]
}
},
"$logic_or$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$193": {
"hide_name": 1,
"type": "$logic_or",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.14-213.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 6 ],
"B": [ 8 ],
"Y": [ 2 ]
}
},
"$specify$30": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000001101001",
"T_FALL_MIN": "00000000000000000000000001101001",
"T_FALL_TYP": "00000000000000000000000001101001",
"T_RISE_MAX": "00000000000000000000000001111110",
"T_RISE_MIN": "00000000000000000000000001111110",
"T_RISE_TYP": "00000000000000000000000001111110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:217.3-217.27"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$31": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000011110101",
"T_FALL_MIN": "00000000000000000000000011110101",
"T_FALL_TYP": "00000000000000000000000011110101",
"T_RISE_MAX": "00000000000000000000000100000011",
"T_RISE_MIN": "00000000000000000000000100000011",
"T_RISE_TYP": "00000000000000000000000100000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:219.3-219.27"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$32": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000010000101",
"T_FALL_MIN": "00000000000000000000000010000101",
"T_FALL_TYP": "00000000000000000000000010000101",
"T_RISE_MAX": "00000000000000000000000011100111",
"T_RISE_MIN": "00000000000000000000000011100111",
"T_RISE_TYP": "00000000000000000000000011100111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221.3-221.27"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$190_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.15-213.23"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$192_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.29-213.45"
}
},
"$logic_or$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$191_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.30-213.38"
}
},
"$logic_or$/usr/local/bin/../share/yosys/ice40/cells_sim.v:213$193_Y": {
"hide_name": 1,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:213.14-213.46"
}
},
"CI": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:212.43-212.45"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:212.25-212.27"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:212.35-212.37"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:212.39-212.41"
}
}
}
},
"SB_DFF": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:249.1-282.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
"$procdff$3380": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:253.2-254.10"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 4 ],
"Q": [ 2 ]
}
},
"$specify$33": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259.3-259.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$34": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:261.3-261.32"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 4 ],
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:253.2-254.10"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251.8-251.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251.11-251.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:250.13-250.14"
}
}
}
},
"SB_DFFE": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:285.1-325.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$procdff$3379": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:289.2-291.11"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 6 ],
"Q": [ 2 ]
}
},
"$procmux$1323": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:290.7-290.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:290.3-291.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 5 ],
"S": [ 4 ],
"Y": [ 6 ]
}
},
"$specify$35": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:296.3-296.41"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$36": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298.3-298.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$37": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300.3-300.39"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:289.2-291.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$procmux$1323_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
}
},
"$procmux$1324_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287.8-287.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287.14-287.15"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287.11-287.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:286.13-286.14"
}
}
}
},
"SB_DFFER": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:618.1-690.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:645$219": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:645.7-645.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:645$218": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:645.12-645.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$procdff$3373": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "0",
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:622.2-626.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 5 ],
"CLK": [ 3 ],
"D": [ 9 ],
"Q": [ 2 ]
}
},
"$procmux$1310": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:625.12-625.13|/usr/local/bin/../share/yosys/ice40/cells_sim.v:625.8-626.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 6 ],
"S": [ 4 ],
"Y": [ 9 ]
}
},
"$specify$59": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:631.3-631.41"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$60": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:633.3-633.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$61": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:635.3-635.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$62": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:640.3-640.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 5 ],
"SRC": [ 5 ]
}
},
"$specify$63": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:645.3-645.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 8 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:622.2-626.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:645$219_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:645.7-645.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:645$218_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:645.12-645.14"
}
},
"$procmux$1310_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
}
},
"$procmux$1311_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:620.8-620.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:620.17-620.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:620.11-620.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:619.13-619.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:620.14-620.15"
}
}
}
},
"SB_DFFES": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749.1-821.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:776$230": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776.7-776.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:776$229": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776.12-776.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$procdff$3371": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "1",
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753.2-757.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 5 ],
"CLK": [ 3 ],
"D": [ 9 ],
"Q": [ 2 ]
}
},
"$procmux$1303": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756.12-756.13|/usr/local/bin/../share/yosys/ice40/cells_sim.v:756.8-757.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 6 ],
"S": [ 4 ],
"Y": [ 9 ]
}
},
"$specify$69": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762.3-762.41"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$70": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764.3-764.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$71": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766.3-766.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$72": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771.3-771.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 5 ],
"SRC": [ 5 ]
}
},
"$specify$73": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776.3-776.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 8 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753.2-757.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:776$230_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776.7-776.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:776$229_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776.12-776.14"
}
},
"$procmux$1303_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
}
},
"$procmux$1304_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751.8-751.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751.17-751.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751.11-751.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750.13-750.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751.14-751.15"
}
}
}
},
"SB_DFFESR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:562.1-615.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:577$212": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:577.27-577.34"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:583$213": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:583.7-583.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 5 ],
"Y": [ 9 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:584$215": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584.7-584.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 10 ],
"Y": [ 11 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:577$211": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:577.32-577.34"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:584$214": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584.12-584.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 10 ]
}
},
"$procdff$3374": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:566.2-572.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 12 ],
"Q": [ 2 ]
}
},
"$procmux$1313": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:568.8-568.9|/usr/local/bin/../share/yosys/ice40/cells_sim.v:568.4-571.12"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 6 ],
"B": [ "0" ],
"S": [ 5 ],
"Y": [ 13 ]
}
},
"$procmux$1315": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:567.7-567.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:567.3-572.6"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 13 ],
"S": [ 4 ],
"Y": [ 12 ]
}
},
"$specify$54": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:577.3-577.47"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 8 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$55": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:579.3-579.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$56": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:581.3-581.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$57": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:583.3-583.48"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "0" ],
"DST": [ 2 ],
"EN": [ 9 ],
"SRC": [ 3 ]
}
},
"$specify$58": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584.3-584.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 11 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:566.2-572.6"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:577$212_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:577.27-577.34"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:583$213_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:583.7-583.14"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:584$215_Y": {
"hide_name": 1,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584.7-584.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:577$211_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:577.32-577.34"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:584$214_Y": {
"hide_name": 1,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584.12-584.14"
}
},
"$procmux$1313_Y": {
"hide_name": 1,
"bits": [ 13 ],
"attributes": {
}
},
"$procmux$1314_CMP": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
}
},
"$procmux$1315_Y": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$procmux$1316_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:564.8-564.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:564.17-564.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:564.11-564.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:563.13-563.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:564.14-564.15"
}
}
}
},
"SB_DFFESS": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:693.1-746.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:708$223": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:708.27-708.34"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:714$224": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714.7-714.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 5 ],
"Y": [ 9 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:715$226": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715.7-715.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 10 ],
"Y": [ 11 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:708$222": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:708.32-708.34"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:715$225": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715.12-715.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 10 ]
}
},
"$procdff$3372": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697.2-703.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 12 ],
"Q": [ 2 ]
}
},
"$procmux$1306": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699.8-699.9|/usr/local/bin/../share/yosys/ice40/cells_sim.v:699.4-702.12"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 6 ],
"B": [ "1" ],
"S": [ 5 ],
"Y": [ 13 ]
}
},
"$procmux$1308": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698.7-698.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:698.3-703.6"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 13 ],
"S": [ 4 ],
"Y": [ 12 ]
}
},
"$specify$64": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:708.3-708.47"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 8 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$65": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:710.3-710.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$66": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:712.3-712.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$67": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714.3-714.48"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "1" ],
"DST": [ 2 ],
"EN": [ 9 ],
"SRC": [ 3 ]
}
},
"$specify$68": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715.3-715.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 11 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697.2-703.6"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:708$223_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:708.27-708.34"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:714$224_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714.7-714.14"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:715$226_Y": {
"hide_name": 1,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715.7-715.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:708$222_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:708.32-708.34"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:715$225_Y": {
"hide_name": 1,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715.12-715.14"
}
},
"$procmux$1306_Y": {
"hide_name": 1,
"bits": [ 13 ],
"attributes": {
}
},
"$procmux$1307_CMP": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
}
},
"$procmux$1308_Y": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$procmux$1309_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695.8-695.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695.17-695.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695.11-695.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:694.13-694.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695.14-695.15"
}
}
}
},
"SB_DFFN": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826.1-859.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
"$procdff$3370": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830.2-831.10"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 4 ],
"Q": [ 2 ]
}
},
"$specify$74": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836.3-836.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$75": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838.3-838.32"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 4 ],
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830.2-831.10"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828.8-828.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828.11-828.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827.13-827.14"
}
}
}
},
"SB_DFFNE": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:862.1-902.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$procdff$3369": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866.2-868.11"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 6 ],
"Q": [ 2 ]
}
},
"$procmux$1301": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:867.7-867.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:867.3-868.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 5 ],
"S": [ 4 ],
"Y": [ 6 ]
}
},
"$specify$76": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873.3-873.41"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$77": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875.3-875.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$78": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877.3-877.39"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866.2-868.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$procmux$1301_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
}
},
"$procmux$1302_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864.8-864.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864.14-864.15"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864.11-864.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863.13-863.14"
}
}
}
},
"SB_DFFNER": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195.1-1267.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222$257": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222.7-1222.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222$256": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222.12-1222.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$procdff$3363": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "0",
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199.2-1203.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 5 ],
"CLK": [ 3 ],
"D": [ 9 ],
"Q": [ 2 ]
}
},
"$procmux$1288": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202.12-1202.13|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202.8-1203.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 6 ],
"S": [ 4 ],
"Y": [ 9 ]
}
},
"$specify$100": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1208.3-1208.41"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$101": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1210.3-1210.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$102": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000100001110000",
"T_LIMIT_MIN": "00000000000000000000100001110000",
"T_LIMIT_TYP": "00000000000000000000100001110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1212.3-1212.30"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$103": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217.3-1217.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 5 ],
"SRC": [ 5 ]
}
},
"$specify$104": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222.3-1222.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 8 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199.2-1203.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222$257_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222.7-1222.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222$256_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222.12-1222.14"
}
},
"$procmux$1288_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
}
},
"$procmux$1289_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197.8-1197.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197.17-1197.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197.11-1197.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196.13-1196.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197.14-1197.15"
}
}
}
},
"SB_DFFNES": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1326.1-1399.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354$268": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354.7-1354.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354$267": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354.12-1354.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$procdff$3361": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "1",
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1330.2-1334.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 5 ],
"CLK": [ 3 ],
"D": [ 9 ],
"Q": [ 2 ]
}
},
"$procmux$1281": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1333.12-1333.13|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1333.8-1334.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 6 ],
"S": [ 4 ],
"Y": [ 9 ]
}
},
"$specify$110": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1339.3-1339.41"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$111": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1341.3-1341.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$112": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1343.3-1343.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$113": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1348.9-1348.31"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 5 ],
"SRC": [ 5 ]
}
},
"$specify$114": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354.3-1354.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 8 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1330.2-1334.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354$268_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354.7-1354.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354$267_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1354.12-1354.14"
}
},
"$procmux$1281_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
}
},
"$procmux$1282_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1328.8-1328.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1328.17-1328.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1328.11-1328.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1327.13-1327.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1328.14-1328.15"
}
}
}
},
"SB_DFFNESR": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139.1-1192.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154$250": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154.27-1154.34"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1160$251": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1160.7-1160.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 5 ],
"Y": [ 9 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161$253": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161.7-1161.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 10 ],
"Y": [ 11 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154$249": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154.32-1154.34"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161$252": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161.12-1161.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 10 ]
}
},
"$procdff$3364": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1143.2-1149.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 12 ],
"Q": [ 2 ]
}
},
"$procmux$1291": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145.8-1145.9|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145.4-1148.12"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 6 ],
"B": [ "0" ],
"S": [ 5 ],
"Y": [ 13 ]
}
},
"$procmux$1293": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144.7-1144.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144.3-1149.6"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 13 ],
"S": [ 4 ],
"Y": [ 12 ]
}
},
"$specify$95": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154.3-1154.47"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 8 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$96": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156.3-1156.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$97": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1158.3-1158.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$98": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1160.3-1160.48"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "0" ],
"DST": [ 2 ],
"EN": [ 9 ],
"SRC": [ 3 ]
}
},
"$specify$99": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161.3-1161.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 11 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1143.2-1149.6"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154$250_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154.27-1154.34"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1160$251_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1160.7-1160.14"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161$253_Y": {
"hide_name": 1,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161.7-1161.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154$249_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154.32-1154.34"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161$252_Y": {
"hide_name": 1,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1161.12-1161.14"
}
},
"$procmux$1291_Y": {
"hide_name": 1,
"bits": [ 13 ],
"attributes": {
}
},
"$procmux$1292_CMP": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
}
},
"$procmux$1293_Y": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$procmux$1294_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1141.8-1141.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1141.17-1141.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1141.11-1141.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140.13-1140.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1141.14-1141.15"
}
}
}
},
"SB_DFFNESS": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1270.1-1323.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285$261": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285.27-1285.34"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 7 ],
"Y": [ 8 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1291$262": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1291.7-1291.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 5 ],
"Y": [ 9 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292$264": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292.7-1292.14"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"B": [ 10 ],
"Y": [ 11 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285$260": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285.32-1285.34"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 7 ]
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292$263": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292.12-1292.14"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"Y": [ 10 ]
}
},
"$procdff$3362": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1274.2-1280.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 12 ],
"Q": [ 2 ]
}
},
"$procmux$1284": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1276.8-1276.9|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1276.4-1279.12"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 6 ],
"B": [ "1" ],
"S": [ 5 ],
"Y": [ 13 ]
}
},
"$procmux$1286": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1275.7-1275.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1275.3-1280.6"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 2 ],
"B": [ 13 ],
"S": [ 4 ],
"Y": [ 12 ]
}
},
"$specify$105": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285.3-1285.47"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 8 ],
"SRC": [ 6 ],
"SRC_EN": [ "1" ]
}
},
"$specify$106": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1287.3-1287.27"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$107": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1289.3-1289.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ 4 ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$108": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1291.3-1291.48"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "1" ],
"DST": [ 2 ],
"EN": [ 9 ],
"SRC": [ 3 ]
}
},
"$specify$109": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292.3-1292.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 6 ],
"DST": [ 2 ],
"EN": [ 11 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1274.2-1280.6"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285$261_Y": {
"hide_name": 1,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285.27-1285.34"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1291$262_Y": {
"hide_name": 1,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1291.7-1291.14"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292$264_Y": {
"hide_name": 1,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292.7-1292.14"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285$260_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1285.32-1285.34"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292$263_Y": {
"hide_name": 1,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1292.12-1292.14"
}
},
"$procmux$1284_Y": {
"hide_name": 1,
"bits": [ 13 ],
"attributes": {
}
},
"$procmux$1285_CMP": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
}
},
"$procmux$1286_Y": {
"hide_name": 1,
"bits": [ 12 ],
"attributes": {
}
},
"$procmux$1287_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1272.8-1272.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1272.17-1272.18"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1272.11-1272.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1271.13-1271.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1272.14-1272.15"
}
}
}
},
"SB_DFFNR": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:953.1-1019.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:978$240": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978.7-978.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3367": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "0",
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:957.2-961.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 4 ],
"CLK": [ 3 ],
"D": [ 5 ],
"Q": [ 2 ]
}
},
"$specify$83": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:966.3-966.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$84": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:968.3-968.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$85": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:973.3-973.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 4 ]
}
},
"$specify$86": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978.3-978.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:957.2-961.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:978$240_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978.7-978.9"
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:955.8-955.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:955.14-955.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:954.13-954.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:955.11-955.12"
}
}
}
},
"SB_DFFNS": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070.1-1136.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095$246": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095.7-1095.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3365": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "1",
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074.2-1078.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 4 ],
"CLK": [ 3 ],
"D": [ 5 ],
"Q": [ 2 ]
}
},
"$specify$91": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083.3-1083.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$92": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085.3-1085.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$93": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090.3-1090.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 4 ]
}
},
"$specify$94": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095.3-1095.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074.2-1078.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095$246_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095.7-1095.9"
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072.8-1072.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072.14-1072.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071.13-1071.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072.11-1072.12"
}
}
}
},
"SB_DFFNSR": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905.1-950.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:923$237": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923.7-923.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3368": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909.2-913.11"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 7 ],
"Q": [ 2 ]
}
},
"$procmux$1299": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910.7-910.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:910.3-913.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"B": [ "0" ],
"S": [ 4 ],
"Y": [ 7 ]
}
},
"$specify$79": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918.3-918.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$80": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:920.3-920.29"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$81": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:922.3-922.43"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "0" ],
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 3 ]
}
},
"$specify$82": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923.3-923.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909.2-913.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:923$237_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923.7-923.9"
}
},
"$procmux$1299_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
}
},
"$procmux$1300_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907.8-907.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907.14-907.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906.13-906.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907.11-907.12"
}
}
}
},
"SB_DFFNSS": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022.1-1067.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040$243": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040.7-1040.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3366": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "0",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026.2-1030.11"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 7 ],
"Q": [ 2 ]
}
},
"$procmux$1296": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027.7-1027.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027.3-1030.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"B": [ "1" ],
"S": [ 4 ],
"Y": [ 7 ]
}
},
"$specify$87": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035.3-1035.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$88": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037.3-1037.29"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$89": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039.3-1039.43"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "1" ],
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 3 ]
}
},
"$specify$90": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "0",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040.3-1040.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026.2-1030.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040$243_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040.7-1040.9"
}
},
"$procmux$1296_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
}
},
"$procmux$1297_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024.8-1024.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024.14-1024.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023.13-1023.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024.11-1024.12"
}
}
}
},
"SB_DFFR": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:376.1-442.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:401$202": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:401.7-401.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3377": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "0",
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:380.2-384.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 4 ],
"CLK": [ 3 ],
"D": [ 5 ],
"Q": [ 2 ]
}
},
"$specify$42": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:389.3-389.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$43": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:391.3-391.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$44": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:396.3-396.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 4 ]
}
},
"$specify$45": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:401.3-401.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:380.2-384.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:401$202_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:401.7-401.9"
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:378.8-378.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:378.14-378.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:377.13-377.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:378.11-378.12"
}
}
}
},
"SB_DFFS": {
"attributes": {
"whitebox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:493.1-559.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:518$208": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:518.7-518.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3375": {
"hide_name": 1,
"type": "$adff",
"parameters": {
"ARST_POLARITY": "1",
"ARST_VALUE": "1",
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:497.2-501.11"
},
"port_directions": {
"ARST": "input",
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"ARST": [ 4 ],
"CLK": [ 3 ],
"D": [ 5 ],
"Q": [ 2 ]
}
},
"$specify$50": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:506.3-506.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$51": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100000",
"T_LIMIT_MIN": "00000000000000000000000010100000",
"T_LIMIT_TYP": "00000000000000000000000010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:508.3-508.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$52": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000001001010111",
"T_FALL_TYP": "00000000000000000000001001010111",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000001001010111",
"T_RISE_TYP": "00000000000000000000001001010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:513.3-513.25"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 4 ]
}
},
"$specify$53": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:518.3-518.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:497.2-501.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:518$208_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:518.7-518.9"
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:495.8-495.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:495.14-495.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:494.13-494.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:495.11-495.12"
}
}
}
},
"SB_DFFSR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:328.1-373.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:346$199": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:346.7-346.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3378": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:332.2-336.11"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 7 ],
"Q": [ 2 ]
}
},
"$procmux$1321": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:333.7-333.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:333.3-336.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"B": [ "0" ],
"S": [ 4 ],
"Y": [ 7 ]
}
},
"$specify$38": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:341.3-341.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$39": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:343.3-343.29"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$40": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:345.3-345.43"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "0" ],
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 3 ]
}
},
"$specify$41": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:346.3-346.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:332.2-336.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:346$199_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:346.7-346.9"
}
},
"$procmux$1321_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
}
},
"$procmux$1322_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:330.8-330.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:330.14-330.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:329.13-329.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:330.11-330.12"
}
}
}
},
"SB_DFFSS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:445.1-490.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:463$205": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463.7-463.9"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 4 ],
"Y": [ 6 ]
}
},
"$procdff$3376": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:449.2-453.11"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 3 ],
"D": [ 7 ],
"Q": [ 2 ]
}
},
"$procmux$1318": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:450.7-450.8|/usr/local/bin/../share/yosys/ice40/cells_sim.v:450.3-453.11"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 5 ],
"B": [ "1" ],
"S": [ 4 ],
"Y": [ 7 ]
}
},
"$specify$46": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000010101",
"T_LIMIT_MIN": "00000000000000000000000000010101",
"T_LIMIT_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:458.3-458.35"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 5 ],
"SRC_EN": [ "1" ]
}
},
"$specify$47": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460.3-460.29"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 4 ],
"SRC_EN": [ "1" ]
}
},
"$specify$48": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462.3-462.43"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "1" ],
"DST": [ 2 ],
"EN": [ 4 ],
"SRC": [ 3 ]
}
},
"$specify$49": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000001000011100",
"T_FALL_TYP": "00000000000000000000001000011100",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000001000011100",
"T_RISE_TYP": "00000000000000000000001000011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463.3-463.40"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ 5 ],
"DST": [ 2 ],
"EN": [ 6 ],
"SRC": [ 3 ]
}
}
},
"netnames": {
"$0\\Q[0:0]": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:449.2-453.11"
}
},
"$1\\Q[0:0]": {
"hide_name": 1,
"bits": [ "0" ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:0.0-0.0"
}
},
"$logic_not$/usr/local/bin/../share/yosys/ice40/cells_sim.v:463$205_Y": {
"hide_name": 1,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463.7-463.9"
}
},
"$procmux$1318_Y": {
"hide_name": 1,
"bits": [ 7 ],
"attributes": {
}
},
"$procmux$1319_CMP": {
"hide_name": 1,
"bits": [ 4 ],
"attributes": {
}
},
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:447.8-447.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:447.14-447.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:446.13-446.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:447.11-447.12"
}
}
}
},
"SB_FILTER_50NS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2752.1-2756.10"
},
"ports": {
"FILTERIN": {
"direction": "input",
"bits": [ 2 ]
},
"FILTEROUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"FILTERIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2753.8-2753.16"
}
},
"FILTEROUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2754.9-2754.18"
}
}
}
},
"SB_GB": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:152.1-162.10"
},
"ports": {
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"direction": "input",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154.9-154.29"
}
},
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:153.9-153.37"
}
}
}
},
"SB_GB_IO": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113.1-150.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 4 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 5 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 7 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 9 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 10 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 11 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:117.9-117.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:123.9-123.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:124.9-124.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121.9-121.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:122.9-122.16"
}
},
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:115.9-115.29"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:118.9-118.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:116.9-116.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:119.9-119.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:120.9-120.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114.9-114.20"
}
}
}
},
"SB_HFOSC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2560.1-2577.10"
},
"parameter_default_values": {
"CLKHF_DIV": "0b00",
"TRIM_EN": "0b0"
},
"ports": {
"TRIM0": {
"direction": "input",
"bits": [ 2 ]
},
"TRIM1": {
"direction": "input",
"bits": [ 3 ]
},
"TRIM2": {
"direction": "input",
"bits": [ 4 ]
},
"TRIM3": {
"direction": "input",
"bits": [ 5 ]
},
"TRIM4": {
"direction": "input",
"bits": [ 6 ]
},
"TRIM5": {
"direction": "input",
"bits": [ 7 ]
},
"TRIM6": {
"direction": "input",
"bits": [ 8 ]
},
"TRIM7": {
"direction": "input",
"bits": [ 9 ]
},
"TRIM8": {
"direction": "input",
"bits": [ 10 ]
},
"TRIM9": {
"direction": "input",
"bits": [ 11 ]
},
"CLKHFPU": {
"direction": "input",
"bits": [ 12 ]
},
"CLKHFEN": {
"direction": "input",
"bits": [ 13 ]
},
"CLKHF": {
"direction": "output",
"bits": [ 14 ]
}
},
"cells": {
},
"netnames": {
"CLKHF": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2573.9-2573.14"
}
},
"CLKHFEN": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2572.8-2572.15"
}
},
"CLKHFPU": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2571.8-2571.15"
}
},
"TRIM0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2561.8-2561.13"
}
},
"TRIM1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2562.8-2562.13"
}
},
"TRIM2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2563.8-2563.13"
}
},
"TRIM3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2564.8-2564.13"
}
},
"TRIM4": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2565.8-2565.13"
}
},
"TRIM5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2566.8-2566.13"
}
},
"TRIM6": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2567.8-2567.13"
}
},
"TRIM7": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2568.8-2568.13"
}
},
"TRIM8": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2569.8-2569.13"
}
},
"TRIM9": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2570.8-2570.13"
}
}
}
},
"SB_I2C": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2629.1-2669.10"
},
"parameter_default_values": {
"BUS_ADDR74": "0b0001",
"I2C_SLAVE_INIT_ADDR": "0b1111100001"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"SCLI": {
"direction": "input",
"bits": [ 21 ]
},
"SDAI": {
"direction": "input",
"bits": [ 22 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 23 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 24 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 30 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 31 ]
},
"I2CIRQ": {
"direction": "output",
"bits": [ 32 ]
},
"I2CWKUP": {
"direction": "output",
"bits": [ 33 ]
},
"SCLO": {
"direction": "output",
"bits": [ 34 ]
},
"SCLOE": {
"direction": "output",
"bits": [ 35 ]
},
"SDAO": {
"direction": "output",
"bits": [ 36 ]
},
"SDAOE": {
"direction": "output",
"bits": [ 37 ]
}
},
"cells": {
},
"netnames": {
"I2CIRQ": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2660.9-2660.15"
}
},
"I2CWKUP": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2661.9-2661.16"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2659.9-2659.15"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2640.9-2640.16"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2639.9-2639.16"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2638.9-2638.16"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2637.9-2637.16"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2636.9-2636.16"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2635.9-2635.16"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2634.9-2634.16"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2633.9-2633.16"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2630.9-2630.15"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2648.9-2648.16"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2647.9-2647.16"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2646.9-2646.16"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2645.9-2645.16"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2644.9-2644.16"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2643.9-2643.16"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2642.9-2642.16"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2641.9-2641.16"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2658.9-2658.16"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2657.9-2657.16"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2656.9-2656.16"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2655.9-2655.16"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2654.9-2654.16"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2653.9-2653.16"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2652.9-2652.16"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2651.9-2651.16"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2631.9-2631.14"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2632.9-2632.15"
}
},
"SCLI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2649.9-2649.13"
}
},
"SCLO": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2662.9-2662.13"
}
},
"SCLOE": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2663.9-2663.14"
}
},
"SDAI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2650.9-2650.13"
}
},
"SDAO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2664.9-2664.13"
}
},
"SDAOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2665.9-2665.14"
}
}
}
},
"SB_IO": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7.1-111.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10.9-10.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16.9-16.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17.9-17.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14.9-14.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15.9-15.16"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11.9-11.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9.9-9.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12.9-12.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13.9-13.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8.9-8.20"
}
}
}
},
"SB_IO_I3C": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2758.1-2825.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0",
"WEAK_PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
},
"PU_ENB": {
"direction": "input",
"bits": [ 12 ]
},
"WEAK_PU_ENB": {
"direction": "input",
"bits": [ 13 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2761.9-2761.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2767.9-2767.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2768.9-2768.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2765.9-2765.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2766.9-2766.16"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2762.9-2762.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2760.9-2760.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2763.9-2763.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2764.9-2764.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2759.9-2759.20"
}
},
"PU_ENB": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2769.9-2769.15"
}
},
"WEAK_PU_ENB": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2770.9-2770.20"
}
}
}
},
"SB_IO_OD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2827.1-2889.10"
},
"parameter_default_values": {
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000"
},
"ports": {
"PACKAGEPIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCKENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUTCLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUTCLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUTENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"DOUT1": {
"direction": "input",
"bits": [ 8 ]
},
"DOUT0": {
"direction": "input",
"bits": [ 9 ]
},
"DIN1": {
"direction": "output",
"bits": [ 10 ]
},
"DIN0": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCKENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2830.9-2830.20"
}
},
"DIN0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2837.9-2837.13"
}
},
"DIN1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2836.9-2836.13"
}
},
"DOUT0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2835.9-2835.14"
}
},
"DOUT1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2834.9-2834.14"
}
},
"INPUTCLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2831.9-2831.17"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2829.9-2829.24"
}
},
"OUTPUTCLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2832.9-2832.18"
}
},
"OUTPUTENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2833.9-2833.21"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2828.9-2828.19"
}
}
}
},
"SB_LEDDA_IP": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2726.1-2749.10"
},
"ports": {
"LEDDCS": {
"direction": "input",
"bits": [ 2 ]
},
"LEDDCLK": {
"direction": "input",
"bits": [ 3 ]
},
"LEDDDAT7": {
"direction": "input",
"bits": [ 4 ]
},
"LEDDDAT6": {
"direction": "input",
"bits": [ 5 ]
},
"LEDDDAT5": {
"direction": "input",
"bits": [ 6 ]
},
"LEDDDAT4": {
"direction": "input",
"bits": [ 7 ]
},
"LEDDDAT3": {
"direction": "input",
"bits": [ 8 ]
},
"LEDDDAT2": {
"direction": "input",
"bits": [ 9 ]
},
"LEDDDAT1": {
"direction": "input",
"bits": [ 10 ]
},
"LEDDDAT0": {
"direction": "input",
"bits": [ 11 ]
},
"LEDDADDR3": {
"direction": "input",
"bits": [ 12 ]
},
"LEDDADDR2": {
"direction": "input",
"bits": [ 13 ]
},
"LEDDADDR1": {
"direction": "input",
"bits": [ 14 ]
},
"LEDDADDR0": {
"direction": "input",
"bits": [ 15 ]
},
"LEDDDEN": {
"direction": "input",
"bits": [ 16 ]
},
"LEDDEXE": {
"direction": "input",
"bits": [ 17 ]
},
"LEDDRST": {
"direction": "input",
"bits": [ 18 ]
},
"PWMOUT0": {
"direction": "output",
"bits": [ 19 ]
},
"PWMOUT1": {
"direction": "output",
"bits": [ 20 ]
},
"PWMOUT2": {
"direction": "output",
"bits": [ 21 ]
},
"LEDDON": {
"direction": "output",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"LEDDADDR0": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2740.8-2740.17"
}
},
"LEDDADDR1": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2739.8-2739.17"
}
},
"LEDDADDR2": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2738.8-2738.17"
}
},
"LEDDADDR3": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2737.8-2737.17"
}
},
"LEDDCLK": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2728.8-2728.15"
}
},
"LEDDCS": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2727.8-2727.14"
}
},
"LEDDDAT0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2736.8-2736.16"
}
},
"LEDDDAT1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2735.8-2735.16"
}
},
"LEDDDAT2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2734.8-2734.16"
}
},
"LEDDDAT3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2733.8-2733.16"
}
},
"LEDDDAT4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2732.8-2732.16"
}
},
"LEDDDAT5": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2731.8-2731.16"
}
},
"LEDDDAT6": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2730.8-2730.16"
}
},
"LEDDDAT7": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2729.8-2729.16"
}
},
"LEDDDEN": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2741.8-2741.15"
}
},
"LEDDEXE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2742.8-2742.15"
}
},
"LEDDON": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2747.9-2747.15"
}
},
"LEDDRST": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2743.8-2743.15"
}
},
"PWMOUT0": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2744.9-2744.16"
}
},
"PWMOUT1": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2745.9-2745.16"
}
},
"PWMOUT2": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2746.9-2746.16"
}
}
}
},
"SB_LED_DRV_CUR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2605.1-2609.10"
},
"ports": {
"EN": {
"direction": "input",
"bits": [ 2 ]
},
"LEDPU": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"EN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2606.8-2606.10"
}
},
"LEDPU": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2607.9-2607.14"
}
}
}
},
"SB_LFOSC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2580.1-2585.10"
},
"ports": {
"CLKLFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKLFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKLF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKLF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2583.9-2583.14"
}
},
"CLKLFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2582.8-2582.15"
}
},
"CLKLFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2581.8-2581.15"
}
}
}
},
"SB_LUT4": {
"attributes": {
"abc9_lut": "00000000000000000000000000000001",
"whitebox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:167.1-209.10"
},
"parameter_default_values": {
"LUT_INIT": "0000000000000000"
},
"ports": {
"O": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"I2": {
"direction": "input",
"bits": [ 5 ]
},
"I3": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
"$specify$26": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000110000010",
"T_FALL_TYP": "00000000000000000000000110000010",
"T_RISE_MAX": "00000000000000000000000111000001",
"T_RISE_MIN": "00000000000000000000000111000001",
"T_RISE_TYP": "00000000000000000000000111000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:176.3-176.26"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$27": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000101111011",
"T_FALL_TYP": "00000000000000000000000101111011",
"T_RISE_MAX": "00000000000000000000000110010000",
"T_RISE_MIN": "00000000000000000000000110010000",
"T_RISE_TYP": "00000000000000000000000110010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178.3-178.26"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$28": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101011111",
"T_FALL_MIN": "00000000000000000000000101011111",
"T_FALL_TYP": "00000000000000000000000101011111",
"T_RISE_MAX": "00000000000000000000000101111011",
"T_RISE_MIN": "00000000000000000000000101111011",
"T_RISE_TYP": "00000000000000000000000101111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:180.3-180.26"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$29": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000100100000",
"T_FALL_TYP": "00000000000000000000000100100000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000100111100",
"T_RISE_TYP": "00000000000000000000000100111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:182.3-182.26"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 2 ],
"EN": [ "1" ],
"SRC": [ 6 ]
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:169$186": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:169.18-169.53"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"B": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"S": [ 6 ],
"Y": [ 7, 8, 9, 10, 11, 12, 13, 14 ]
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:170$187": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000100"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170.18-170.53"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 7, 8, 9, 10 ],
"B": [ 11, 12, 13, 14 ],
"S": [ 5 ],
"Y": [ 15, 16, 17, 18 ]
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:171$188": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000010"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:171.18-171.53"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 15, 16 ],
"B": [ 17, 18 ],
"S": [ 4 ],
"Y": [ 19, 20 ]
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:172$189": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:172.13-172.31"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 19 ],
"B": [ 20 ],
"S": [ 3 ],
"Y": [ 2 ]
}
}
},
"netnames": {
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:169$186_Y": {
"hide_name": 1,
"bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:169.18-169.53"
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:170$187_Y": {
"hide_name": 1,
"bits": [ 15, 16, 17, 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170.18-170.53"
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:171$188_Y": {
"hide_name": 1,
"bits": [ 19, 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:171.18-171.53"
}
},
"$ternary$/usr/local/bin/../share/yosys/ice40/cells_sim.v:172$189_Y": {
"hide_name": 1,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:172.13-172.31"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:167.33-167.35"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:167.37-167.39"
}
},
"I2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:167.41-167.43"
}
},
"I3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:167.45-167.47"
}
},
"O": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:167.24-167.25"
}
},
"s1": {
"hide_name": 0,
"bits": [ 19, 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:171.13-171.15"
}
},
"s2": {
"hide_name": 0,
"bits": [ 15, 16, 17, 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170.13-170.15"
}
},
"s3": {
"hide_name": 0,
"bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:169.13-169.15"
}
}
}
},
"SB_MAC16": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2892.1-3060.10"
},
"parameter_default_values": {
"A_REG": "0",
"A_SIGNED": "0",
"BOTADDSUB_CARRYSELECT": "00",
"BOTADDSUB_LOWERINPUT": "00",
"BOTADDSUB_UPPERINPUT": "0",
"BOTOUTPUT_SELECT": "00",
"BOT_8x8_MULT_REG": "0",
"B_REG": "0",
"B_SIGNED": "0",
"C_REG": "0",
"D_REG": "0",
"MODE_8x8": "0",
"NEG_TRIGGER": "0",
"PIPELINE_16x16_MULT_REG1": "0",
"PIPELINE_16x16_MULT_REG2": "0",
"TOPADDSUB_CARRYSELECT": "00",
"TOPADDSUB_LOWERINPUT": "00",
"TOPADDSUB_UPPERINPUT": "0",
"TOPOUTPUT_SELECT": "00",
"TOP_8x8_MULT_REG": "0"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"CE": {
"direction": "input",
"bits": [ 3 ]
},
"C": {
"direction": "input",
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
},
"A": {
"direction": "input",
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
},
"B": {
"direction": "input",
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
},
"D": {
"direction": "input",
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
},
"AHOLD": {
"direction": "input",
"bits": [ 68 ]
},
"BHOLD": {
"direction": "input",
"bits": [ 69 ]
},
"CHOLD": {
"direction": "input",
"bits": [ 70 ]
},
"DHOLD": {
"direction": "input",
"bits": [ 71 ]
},
"IRSTTOP": {
"direction": "input",
"bits": [ 72 ]
},
"IRSTBOT": {
"direction": "input",
"bits": [ 73 ]
},
"ORSTTOP": {
"direction": "input",
"bits": [ 74 ]
},
"ORSTBOT": {
"direction": "input",
"bits": [ 75 ]
},
"OLOADTOP": {
"direction": "input",
"bits": [ 76 ]
},
"OLOADBOT": {
"direction": "input",
"bits": [ 77 ]
},
"ADDSUBTOP": {
"direction": "input",
"bits": [ 78 ]
},
"ADDSUBBOT": {
"direction": "input",
"bits": [ 79 ]
},
"OHOLDTOP": {
"direction": "input",
"bits": [ 80 ]
},
"OHOLDBOT": {
"direction": "input",
"bits": [ 81 ]
},
"CI": {
"direction": "input",
"bits": [ 82 ]
},
"ACCUMCI": {
"direction": "input",
"bits": [ 83 ]
},
"SIGNEXTIN": {
"direction": "input",
"bits": [ 84 ]
},
"O": {
"direction": "output",
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
},
"CO": {
"direction": "output",
"bits": [ 117 ]
},
"ACCUMCO": {
"direction": "output",
"bits": [ 118 ]
},
"SIGNEXTOUT": {
"direction": "output",
"bits": [ 119 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2894.18-2894.19"
}
},
"ACCUMCI": {
"hide_name": 0,
"bits": [ 83 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2901.12-2901.19"
}
},
"ACCUMCO": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2903.13-2903.20"
}
},
"ADDSUBBOT": {
"hide_name": 0,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2899.19-2899.28"
}
},
"ADDSUBTOP": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2899.8-2899.17"
}
},
"AHOLD": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2895.8-2895.13"
}
},
"B": {
"hide_name": 0,
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2894.21-2894.22"
}
},
"BHOLD": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2895.15-2895.20"
}
},
"C": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2894.15-2894.16"
}
},
"CE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2893.13-2893.15"
}
},
"CHOLD": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2895.22-2895.27"
}
},
"CI": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2901.8-2901.10"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2893.8-2893.11"
}
},
"CO": {
"hide_name": 0,
"bits": [ 117 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2903.9-2903.11"
}
},
"D": {
"hide_name": 0,
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2894.24-2894.25"
}
},
"DHOLD": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2895.29-2895.34"
}
},
"IRSTBOT": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2896.17-2896.24"
}
},
"IRSTTOP": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2896.8-2896.15"
}
},
"O": {
"hide_name": 0,
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2902.16-2902.17"
}
},
"OHOLDBOT": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2900.18-2900.26"
}
},
"OHOLDTOP": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2900.8-2900.16"
}
},
"OLOADBOT": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2898.18-2898.26"
}
},
"OLOADTOP": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2898.8-2898.16"
}
},
"ORSTBOT": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2897.17-2897.24"
}
},
"ORSTTOP": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2897.8-2897.15"
}
},
"SIGNEXTIN": {
"hide_name": 0,
"bits": [ 84 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2901.21-2901.30"
}
},
"SIGNEXTOUT": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2903.22-2903.32"
}
}
}
},
"SB_PLL40_2F_CORE": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2417.1-2449.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTA": "GENCLK",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2426.10-2426.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2424.16-2424.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2423.10-2423.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2428.10-2428.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2425.10-2425.14"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2419.10-2419.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2421.10-2421.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2420.10-2420.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2422.10-2422.23"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2418.10-2418.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2427.10-2427.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2431.10-2431.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2430.10-2430.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2429.10-2429.13"
}
}
}
},
"SB_PLL40_2F_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2452.1-2484.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTA": "GENCLK",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "00",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2461.10-2461.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2459.16-2459.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2458.10-2458.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2463.10-2463.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2460.10-2460.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2453.10-2453.20"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2454.10-2454.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2456.10-2456.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2455.10-2455.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2457.10-2457.23"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2462.10-2462.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2466.10-2466.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2465.10-2465.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2464.10-2464.13"
}
}
}
},
"SB_PLL40_2_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2383.1-2414.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2392.10-2392.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2390.16-2390.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2389.10-2389.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2394.10-2394.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2391.10-2391.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2384.10-2384.20"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2385.10-2385.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2387.10-2387.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2386.10-2386.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2388.10-2388.23"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2393.10-2393.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2397.10-2397.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2396.10-2396.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2395.10-2395.13"
}
}
}
},
"SB_PLL40_CORE": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2321.1-2349.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2328.10-2328.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2326.16-2326.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2325.10-2325.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2330.10-2330.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2327.10-2327.14"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2323.10-2323.20"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2324.10-2324.22"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2322.10-2322.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2329.10-2329.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2333.10-2333.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2332.10-2332.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2331.10-2331.13"
}
}
}
},
"SB_PLL40_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2352.1-2380.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2359.10-2359.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2357.16-2357.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2356.10-2356.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2361.10-2361.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2358.10-2358.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2353.10-2353.20"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2354.10-2354.20"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2355.10-2355.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2360.10-2360.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2364.10-2364.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2363.10-2363.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2362.10-2362.13"
}
}
}
},
"SB_RAM40_4K": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1403.1-1635.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1572$270": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1572.33-1572.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1574$271": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1574.34-1574.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1580$272": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1580.34-1580.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1584$273": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1584.34-1584.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$115": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1572.3-1572.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$116": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1574.3-1574.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$117": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1576.3-1576.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$118": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1578.3-1578.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$119": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1580.3-1580.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$120": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1582.3-1582.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$121": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1584.3-1584.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$122": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1586.3-1586.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$123": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1588.3-1588.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1572$270_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1572.33-1572.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1574$271_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1574.34-1574.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1580$272_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1580.34-1580.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1584$273_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1584.34-1584.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1409.16-1409.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1406.16-1406.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1405.16-1405.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1405.22-1405.27"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1404.16-1404.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1405.29-1405.31"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1408.16-1408.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1407.16-1407.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1407.22-1407.27"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1409.22-1409.27"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1407.29-1407.31"
}
}
}
},
"SB_RAM40_4KNR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1637.1-1766.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1703$274": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1703.33-1703.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1705$275": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1705.35-1705.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1711$276": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1711.34-1711.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1715$277": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1715.34-1715.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$124": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1703.3-1703.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$125": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1705.3-1705.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$126": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1707.3-1707.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$127": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1709.3-1709.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$128": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1711.3-1711.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$129": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1713.3-1713.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$130": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1715.3-1715.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$131": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1717.3-1717.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$132": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1719.3-1719.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1703$274_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1703.33-1703.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1705$275_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1705.35-1705.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1711$276_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1711.34-1711.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1715$277_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1715.34-1715.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1643.16-1643.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1640.16-1640.21"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1639.23-1639.28"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1639.16-1639.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1638.16-1638.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1639.30-1639.32"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1642.16-1642.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1641.16-1641.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1641.22-1641.27"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1643.22-1643.27"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1641.29-1641.31"
}
}
}
},
"SB_RAM40_4KNRNW": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1899.1-2028.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1965$282": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1965.34-1965.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1967$283": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1967.35-1967.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1973$284": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1973.35-1973.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1977$285": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1977.35-1977.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$142": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1965.3-1965.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$143": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1967.3-1967.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$144": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1969.3-1969.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$145": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1971.3-1971.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$146": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1973.3-1973.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$147": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1975.3-1975.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$148": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1977.3-1977.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$149": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1979.3-1979.34"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$150": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1981.3-1981.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1965$282_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1965.34-1965.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1967$283_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1967.35-1967.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1973$284_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1973.35-1973.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1977$285_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1977.35-1977.46"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1905.16-1905.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1902.16-1902.21"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1901.23-1901.28"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1901.16-1901.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1900.16-1900.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1901.30-1901.32"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1904.16-1904.21"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1903.23-1903.28"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1903.16-1903.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1905.22-1905.27"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1903.30-1903.32"
}
}
}
},
"SB_RAM40_4KNW": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1768.1-1897.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1834$278": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1834.34-1834.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1836$279": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1836.34-1836.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1842$280": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1842.35-1842.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1846$281": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1846.35-1846.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$133": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1834.3-1834.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$134": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1836.3-1836.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$135": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1838.3-1838.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$136": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1840.3-1840.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$137": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1842.3-1842.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$138": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1844.3-1844.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$139": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1846.3-1846.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$140": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1848.3-1848.34"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$141": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1850.3-1850.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1834$278_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1834.34-1834.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1836$279_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1836.34-1836.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1842$280_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1842.35-1842.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1846$281_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1846.35-1846.46"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1774.16-1774.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1771.16-1771.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1770.16-1770.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1770.22-1770.27"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1769.16-1769.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1770.29-1770.31"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1773.16-1773.21"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1772.23-1772.28"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1772.16-1772.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1774.22-1774.27"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1772.30-1772.32"
}
}
}
},
"SB_RGBA_DRV": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2588.1-2602.10"
},
"parameter_default_values": {
"CURRENT_MODE": "0b0",
"RGB0_CURRENT": "0b000000",
"RGB1_CURRENT": "0b000000",
"RGB2_CURRENT": "0b000000"
},
"ports": {
"CURREN": {
"direction": "input",
"bits": [ 2 ]
},
"RGBLEDEN": {
"direction": "input",
"bits": [ 3 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"CURREN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2589.8-2589.14"
}
},
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2594.9-2594.13"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2591.8-2591.15"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2595.9-2595.13"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2592.8-2592.15"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2596.9-2596.13"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2593.8-2593.15"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2590.8-2590.16"
}
}
}
},
"SB_RGB_DRV": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2612.1-2626.10"
},
"parameter_default_values": {
"CURRENT_MODE": "0b0",
"RGB0_CURRENT": "0b000000",
"RGB1_CURRENT": "0b000000",
"RGB2_CURRENT": "0b000000"
},
"ports": {
"RGBLEDEN": {
"direction": "input",
"bits": [ 2 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 3 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGBPU": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2618.9-2618.13"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2614.8-2614.15"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2619.9-2619.13"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2615.8-2615.15"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2620.9-2620.13"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2616.8-2616.15"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2613.8-2613.16"
}
},
"RGBPU": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2617.8-2617.13"
}
}
}
},
"SB_SPI": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2672.1-2723.10"
},
"parameter_default_values": {
"BUS_ADDR74": "0b0000"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"MI": {
"direction": "input",
"bits": [ 21 ]
},
"SI": {
"direction": "input",
"bits": [ 22 ]
},
"SCKI": {
"direction": "input",
"bits": [ 23 ]
},
"SCSNI": {
"direction": "input",
"bits": [ 24 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 30 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 31 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 32 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 33 ]
},
"SPIIRQ": {
"direction": "output",
"bits": [ 34 ]
},
"SPIWKUP": {
"direction": "output",
"bits": [ 35 ]
},
"SO": {
"direction": "output",
"bits": [ 36 ]
},
"SOE": {
"direction": "output",
"bits": [ 37 ]
},
"MO": {
"direction": "output",
"bits": [ 38 ]
},
"MOE": {
"direction": "output",
"bits": [ 39 ]
},
"SCKO": {
"direction": "output",
"bits": [ 40 ]
},
"SCKOE": {
"direction": "output",
"bits": [ 41 ]
},
"MCSNO3": {
"direction": "output",
"bits": [ 42 ]
},
"MCSNO2": {
"direction": "output",
"bits": [ 43 ]
},
"MCSNO1": {
"direction": "output",
"bits": [ 44 ]
},
"MCSNO0": {
"direction": "output",
"bits": [ 45 ]
},
"MCSNOE3": {
"direction": "output",
"bits": [ 46 ]
},
"MCSNOE2": {
"direction": "output",
"bits": [ 47 ]
},
"MCSNOE1": {
"direction": "output",
"bits": [ 48 ]
},
"MCSNOE0": {
"direction": "output",
"bits": [ 49 ]
}
},
"cells": {
},
"netnames": {
"MCSNO0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2716.9-2716.15"
}
},
"MCSNO1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2715.9-2715.15"
}
},
"MCSNO2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2714.9-2714.15"
}
},
"MCSNO3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2713.9-2713.15"
}
},
"MCSNOE0": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2720.9-2720.16"
}
},
"MCSNOE1": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2719.9-2719.16"
}
},
"MCSNOE2": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2718.9-2718.16"
}
},
"MCSNOE3": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2717.9-2717.16"
}
},
"MI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2692.9-2692.11"
}
},
"MO": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2709.9-2709.11"
}
},
"MOE": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2710.9-2710.12"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2704.9-2704.15"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2683.9-2683.16"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2682.9-2682.16"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2681.9-2681.16"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2680.9-2680.16"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2679.9-2679.16"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2678.9-2678.16"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2677.9-2677.16"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2676.9-2676.16"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2673.9-2673.15"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2691.9-2691.16"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2690.9-2690.16"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2689.9-2689.16"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2688.9-2688.16"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2687.9-2687.16"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2686.9-2686.16"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2685.9-2685.16"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2684.9-2684.16"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2703.9-2703.16"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2702.9-2702.16"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2701.9-2701.16"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2700.9-2700.16"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2699.9-2699.16"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2698.9-2698.16"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2697.9-2697.16"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2696.9-2696.16"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2674.9-2674.14"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2675.9-2675.15"
}
},
"SCKI": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2694.9-2694.13"
}
},
"SCKO": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2711.9-2711.13"
}
},
"SCKOE": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2712.9-2712.14"
}
},
"SCSNI": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2695.9-2695.14"
}
},
"SI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2693.9-2693.11"
}
},
"SO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2707.9-2707.11"
}
},
"SOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2708.9-2708.12"
}
},
"SPIIRQ": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2705.9-2705.15"
}
},
"SPIWKUP": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2706.9-2706.16"
}
}
}
},
"SB_SPRAM256KA": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2496.1-2557.10"
},
"ports": {
"ADDRESS": {
"direction": "input",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"DATAIN": {
"direction": "input",
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"MASKWREN": {
"direction": "input",
"bits": [ 32, 33, 34, 35 ]
},
"WREN": {
"direction": "input",
"bits": [ 36 ]
},
"CHIPSELECT": {
"direction": "input",
"bits": [ 37 ]
},
"CLOCK": {
"direction": "input",
"bits": [ 38 ]
},
"STANDBY": {
"direction": "input",
"bits": [ 39 ]
},
"SLEEP": {
"direction": "input",
"bits": [ 40 ]
},
"POWEROFF": {
"direction": "input",
"bits": [ 41 ]
},
"DATAOUT": {
"direction": "output",
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
}
},
"cells": {
},
"netnames": {
"ADDRESS": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2497.15-2497.22"
}
},
"CHIPSELECT": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.14-2500.24"
}
},
"CLOCK": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.26-2500.31"
}
},
"DATAIN": {
"hide_name": 0,
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2498.15-2498.21"
}
},
"DATAOUT": {
"hide_name": 0,
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2501.20-2501.27"
}
},
"MASKWREN": {
"hide_name": 0,
"bits": [ 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2499.14-2499.22"
}
},
"POWEROFF": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.49-2500.57"
}
},
"SLEEP": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.42-2500.47"
}
},
"STANDBY": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.33-2500.40"
}
},
"WREN": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.8-2500.12"
}
}
}
},
"SB_WARMBOOT": {
"attributes": {
"keep": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2489.1-2494.10"
},
"ports": {
"BOOT": {
"direction": "input",
"bits": [ 2 ]
},
"S1": {
"direction": "input",
"bits": [ 3 ]
},
"S0": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"BOOT": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2490.8-2490.12"
}
},
"S0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2492.8-2492.10"
}
},
"S1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2491.8-2491.10"
}
}
}
},
"hardware": {
"attributes": {
"hdlname": "\\hardware",
"top": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:18.1-231.10"
},
"parameter_default_values": {
"clk_freq_hz": "00000000111101000010010000000000"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"PIN_TX": {
"direction": "output",
"bits": [ "x" ]
},
"PIN_RX": {
"direction": "input",
"bits": [ 3 ]
},
"SPI_SS": {
"direction": "output",
"bits": [ "x" ]
},
"SPI_SCK": {
"direction": "output",
"bits": [ "x" ]
},
"SPI_IO0": {
"direction": "inout",
"bits": [ 4 ]
},
"SPI_IO1": {
"direction": "inout",
"bits": [ 5 ]
},
"SPI_IO2": {
"direction": "inout",
"bits": [ 6 ]
},
"SPI_IO3": {
"direction": "inout",
"bits": [ 7 ]
},
"LED": {
"direction": "output",
"bits": [ "x" ]
},
"USBPU": {
"direction": "output",
"bits": [ "1" ]
},
"USBP": {
"direction": "output",
"bits": [ 8 ]
},
"USBN": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
"flash_io_buf[0]": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:131.6-136.5"
},
"port_directions": {
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"D_IN_0": [ 10 ],
"D_OUT_0": [ "x" ],
"OUTPUT_ENABLE": [ "x" ],
"PACKAGE_PIN": [ 4 ]
}
},
"flash_io_buf[1]": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:131.6-136.5"
},
"port_directions": {
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"D_IN_0": [ 11 ],
"D_OUT_0": [ "x" ],
"OUTPUT_ENABLE": [ "x" ],
"PACKAGE_PIN": [ 5 ]
}
},
"flash_io_buf[2]": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:131.6-136.5"
},
"port_directions": {
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"D_IN_0": [ 12 ],
"D_OUT_0": [ "x" ],
"OUTPUT_ENABLE": [ "x" ],
"PACKAGE_PIN": [ 6 ]
}
},
"flash_io_buf[3]": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:131.6-136.5"
},
"port_directions": {
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"D_IN_0": [ 13 ],
"D_OUT_0": [ "x" ],
"OUTPUT_ENABLE": [ "x" ],
"PACKAGE_PIN": [ 7 ]
}
},
"pll48.uut": {
"hide_name": 0,
"type": "SB_PLL40_CORE",
"parameters": {
"DIVF": "0101111",
"DIVQ": "100",
"DIVR": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "001"
},
"attributes": {
"hdlname": "pll48 uut",
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:54.8-54.75|../src/nevercast_tinysoc_tinysoc-usb_pll_48m_0.1/pll.v:25.4-31.4"
},
"port_directions": {
"BYPASS": "input",
"LOCK": "output",
"PLLOUTCORE": "output",
"REFERENCECLK": "input",
"RESETB": "input"
},
"connections": {
"BYPASS": [ "0" ],
"LOCK": [ 14 ],
"PLLOUTCORE": [ 15 ],
"REFERENCECLK": [ 2 ],
"RESETB": [ "1" ]
}
},
"uart.iobuf_usbn": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"hdlname": "uart iobuf_usbn",
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:107.7-112.6"
},
"port_directions": {
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"D_IN_0": [ 16 ],
"D_OUT_0": [ 17 ],
"OUTPUT_ENABLE": [ 18 ],
"PACKAGE_PIN": [ 9 ]
}
},
"uart.iobuf_usbp": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"hdlname": "uart iobuf_usbp",
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:97.7-102.6"
},
"port_directions": {
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"D_IN_0": [ 19 ],
"D_OUT_0": [ 20 ],
"OUTPUT_ENABLE": [ 18 ],
"PACKAGE_PIN": [ 8 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:125.3-133.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 21 ],
"Q": [ 22 ],
"R": [ 23 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_1": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:125.3-133.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 24 ],
"Q": [ 25 ],
"R": [ 23 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 25 ],
"O": [ 24 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 25 ],
"I3": [ 22 ],
"O": [ 21 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 26 ],
"I2": [ 23 ],
"I3": [ 27 ],
"O": [ 28 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 29 ],
"I1": [ 30 ],
"I2": [ 31 ],
"I3": [ 32 ],
"O": [ 26 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 31 ],
"I3": [ 33 ],
"O": [ 34 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 29 ],
"I3": [ 35 ],
"O": [ 36 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110111111110110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 31 ],
"I1": [ 30 ],
"I2": [ 27 ],
"I3": [ 32 ],
"O": [ 35 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010000100001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 27 ],
"I1": [ 29 ],
"I2": [ 32 ],
"I3": [ 30 ],
"O": [ 33 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 32 ],
"I2": [ 30 ],
"I3": [ 29 ],
"O": [ 23 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.dpair_q_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:54.3-56.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 16 ],
"Q": [ 37 ],
"R": [ 18 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.dpair_q_SB_DFFSS_Q": {
"hide_name": 0,
"type": "SB_DFFSS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:54.3-56.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 19 ],
"Q": [ 38 ],
"S": [ 18 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.dpair_q_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:54.3-56.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 38 ],
"Q": [ 31 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.dpair_q_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:54.3-56.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 37 ],
"Q": [ 27 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_state_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:81.3-104.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 34 ],
"Q": [ 30 ],
"R": [ 39 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_state_SB_DFFSR_Q_1": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:81.3-104.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 28 ],
"Q": [ 32 ],
"R": [ 39 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_state_SB_DFFSR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 30 ],
"I2": [ 32 ],
"I3": [ 29 ],
"O": [ 39 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_state_SB_DFFSS_Q": {
"hide_name": 0,
"type": "SB_DFFSS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:81.3-104.6|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 36 ],
"Q": [ 29 ],
"S": [ 39 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 40 ],
"E": [ 41 ],
"Q": [ 42 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 43 ],
"E": [ 41 ],
"Q": [ 44 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 45 ],
"E": [ 41 ],
"Q": [ 46 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:171.22-171.35|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 42 ],
"I3": [ 47 ],
"O": [ 40 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:171.22-171.35|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 44 ],
"I3": [ 46 ],
"O": [ 43 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_D_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 46 ],
"O": [ 45 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:171.22-171.35|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 46 ],
"CO": [ 47 ],
"I0": [ "0" ],
"I1": [ 44 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history_q_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 48 ],
"E": [ 49 ],
"Q": [ 50 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history_q_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 50 ],
"E": [ 49 ],
"Q": [ 51 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history_q_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 51 ],
"E": [ 49 ],
"Q": [ 52 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history_q_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 52 ],
"E": [ 49 ],
"Q": [ 53 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history_q_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 53 ],
"E": [ 49 ],
"Q": [ 54 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_strobe_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 25 ],
"I3": [ 22 ],
"O": [ 49 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 55 ],
"I3": [ 49 ],
"O": [ 41 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 56 ],
"I1": [ 57 ],
"I2": [ 58 ],
"I3": [ 59 ],
"O": [ 60 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 61 ],
"I1": [ 62 ],
"I2": [ 63 ],
"I3": [ 64 ],
"O": [ 56 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 61 ],
"I1": [ 65 ],
"I2": [ 63 ],
"I3": [ 64 ],
"O": [ 66 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 64 ],
"I1": [ 61 ],
"I2": [ 62 ],
"I3": [ 67 ],
"O": [ 57 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 68 ],
"I2": [ 69 ],
"I3": [ 70 ],
"O": [ 62 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 71 ],
"I2": [ 72 ],
"I3": [ 73 ],
"O": [ 67 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 74 ],
"I1": [ 75 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 61 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 78 ],
"I1": [ 79 ],
"I2": [ 80 ],
"I3": [ 81 ],
"O": [ 77 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 82 ],
"I1": [ 83 ],
"I2": [ 84 ],
"I3": [ 85 ],
"O": [ 76 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 86 ],
"I1": [ 87 ],
"I2": [ 88 ],
"I3": [ 89 ],
"O": [ 75 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 90 ],
"I1": [ 91 ],
"I2": [ 92 ],
"I3": [ 93 ],
"O": [ 74 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 94 ],
"I1": [ 95 ],
"I2": [ 96 ],
"I3": [ 97 ],
"O": [ 69 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 98 ],
"I1": [ 99 ],
"I2": [ 100 ],
"I3": [ 101 ],
"O": [ 70 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 72 ],
"I2": [ 71 ],
"I3": [ 73 ],
"O": [ 63 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 70 ],
"I2": [ 69 ],
"I3": [ 68 ],
"O": [ 65 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 102 ],
"I1": [ 103 ],
"I2": [ 104 ],
"I3": [ 105 ],
"O": [ 73 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 61 ],
"I2": [ 65 ],
"I3": [ 63 ],
"O": [ 58 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 106 ],
"I2": [ 51 ],
"I3": [ 50 ],
"O": [ 55 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 53 ],
"I1": [ 52 ],
"I2": [ 54 ],
"I3": [ 48 ],
"O": [ 106 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_q_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:61.3-66.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 55 ],
"Q": [ 107 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_qq_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:61.3-66.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 107 ],
"Q": [ 108 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_qqq_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:61.3-66.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 108 ],
"Q": [ 109 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_qqqq_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:61.3-66.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 109 ],
"Q": [ 110 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.byte_strobe_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 111 ],
"Q": [ 59 ],
"R": [ 112 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.byte_strobe_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 46 ],
"I2": [ 44 ],
"I3": [ 42 ],
"O": [ 111 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.byte_strobe_SB_DFFSR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 41 ],
"O": [ 112 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 113 ],
"E": [ 114 ],
"Q": [ 115 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 116 ],
"E": [ 114 ],
"Q": [ 117 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_10": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 118 ],
"E": [ 114 ],
"Q": [ 119 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_11": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 120 ],
"E": [ 114 ],
"Q": [ 118 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_12": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 121 ],
"E": [ 114 ],
"Q": [ 120 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_13": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 122 ],
"E": [ 114 ],
"Q": [ 121 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_14": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 123 ],
"E": [ 114 ],
"Q": [ 124 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_15": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 125 ],
"E": [ 114 ],
"Q": [ 123 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 126 ],
"E": [ 114 ],
"Q": [ 116 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 127 ],
"E": [ 114 ],
"Q": [ 126 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 128 ],
"E": [ 114 ],
"Q": [ 127 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 129 ],
"E": [ 114 ],
"Q": [ 128 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 130 ],
"E": [ 114 ],
"Q": [ 129 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 131 ],
"E": [ 114 ],
"Q": [ 130 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_8": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 132 ],
"E": [ 114 ],
"Q": [ 131 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_9": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 119 ],
"E": [ 114 ],
"Q": [ 132 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_invert_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 125 ],
"I3": [ 124 ],
"O": [ 122 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_invert_SB_LUT4_I2_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 125 ],
"I3": [ 117 ],
"O": [ 113 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_invert_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 48 ],
"I3": [ 115 ],
"O": [ 125 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 133 ],
"Q": [ 134 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 59 ],
"I3": [ 66 ],
"O": [ 133 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_DFFE_Q_E_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000001110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 72 ],
"I1": [ 135 ],
"I2": [ 136 ],
"I3": [ 59 ],
"O": [ 137 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_DFFE_Q_E_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 56 ],
"I3": [ 57 ],
"O": [ 136 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 110 ],
"I2": [ 49 ],
"I3": [ 134 ],
"O": [ 114 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 138 ],
"Q": [ 139 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 140 ],
"Q": [ 141 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000111110001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 41 ],
"I1": [ 139 ],
"I2": [ 142 ],
"I3": [ 143 ],
"O": [ 140 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 141 ],
"I2": [ 60 ],
"I3": [ 41 ],
"O": [ 143 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 144 ],
"I3": [ 145 ],
"O": [ 142 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 146 ],
"Q": [ 147 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 148 ],
"I1": [ 149 ],
"I2": [ 141 ],
"I3": [ 41 ],
"O": [ 146 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 60 ],
"I3": [ 147 ],
"O": [ 148 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 144 ],
"I3": [ 150 ],
"O": [ 149 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 128 ],
"I1": [ 66 ],
"I2": [ 121 ],
"I3": [ 57 ],
"O": [ 150 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 151 ],
"Q": [ 152 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000111110001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 41 ],
"I1": [ 147 ],
"I2": [ 153 ],
"I3": [ 154 ],
"O": [ 151 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 152 ],
"I2": [ 60 ],
"I3": [ 41 ],
"O": [ 154 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 144 ],
"I3": [ 155 ],
"O": [ 153 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 129 ],
"I1": [ 66 ],
"I2": [ 124 ],
"I3": [ 57 ],
"O": [ 145 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 58 ],
"I2": [ 57 ],
"I3": [ 59 ],
"O": [ 144 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 127 ],
"I1": [ 66 ],
"I2": [ 120 ],
"I3": [ 57 ],
"O": [ 155 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 156 ],
"Q": [ 157 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 152 ],
"I2": [ 158 ],
"I3": [ 41 ],
"O": [ 156 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 157 ],
"I2": [ 159 ],
"I3": [ 60 ],
"O": [ 158 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 126 ],
"I1": [ 66 ],
"I2": [ 118 ],
"I3": [ 57 ],
"O": [ 159 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 160 ],
"Q": [ 161 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 157 ],
"I2": [ 162 ],
"I3": [ 41 ],
"O": [ 160 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 161 ],
"I2": [ 163 ],
"I3": [ 60 ],
"O": [ 162 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 116 ],
"I1": [ 66 ],
"I2": [ 119 ],
"I3": [ 57 ],
"O": [ 163 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 164 ],
"Q": [ 165 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 161 ],
"I2": [ 166 ],
"I3": [ 41 ],
"O": [ 164 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 165 ],
"I2": [ 167 ],
"I3": [ 60 ],
"O": [ 166 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 117 ],
"I1": [ 66 ],
"I2": [ 132 ],
"I3": [ 57 ],
"O": [ 167 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 139 ],
"I1": [ 168 ],
"I2": [ 41 ],
"I3": [ 169 ],
"O": [ 138 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1101000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 57 ],
"I1": [ 123 ],
"I2": [ 170 ],
"I3": [ 171 ],
"O": [ 168 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100101011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 58 ],
"I1": [ 59 ],
"I2": [ 57 ],
"I3": [ 139 ],
"O": [ 169 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 65 ],
"I1": [ 172 ],
"I2": [ 63 ],
"I3": [ 56 ],
"O": [ 170 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 59 ],
"I2": [ 130 ],
"I3": [ 66 ],
"O": [ 171 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 172 ],
"I2": [ 65 ],
"I3": [ 63 ],
"O": [ 173 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_I1_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 172 ],
"I2": [ 67 ],
"I3": [ 65 ],
"O": [ 135 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_I1_1_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 59 ],
"I2": [ 135 ],
"I3": [ 41 ],
"O": [ 174 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_I1_1_O_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 175 ],
"I3": [ 41 ],
"O": [ 176 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 64 ],
"I3": [ 61 ],
"O": [ 172 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dn_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 15 ],
"D": [ 177 ],
"E": [ 178 ],
"Q": [ 17 ],
"R": [ 179 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dn_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 17 ],
"O": [ 177 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 180 ],
"E": [ 178 ],
"Q": [ 20 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 179 ],
"I2": [ 48 ],
"I3": [ 49 ],
"O": [ 178 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 20 ],
"I2": [ 181 ],
"I3": [ 179 ],
"O": [ 180 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_eop_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 182 ],
"Q": [ 183 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_eop_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 183 ],
"E": [ 182 ],
"Q": [ 184 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_eop_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 184 ],
"E": [ 182 ],
"Q": [ 181 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_eop_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 49 ],
"I3": [ 179 ],
"O": [ 182 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 185 ],
"E": [ 49 ],
"Q": [ 18 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 186 ],
"Q": [ 187 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 188 ],
"Q": [ 189 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 187 ],
"I2": [ 190 ],
"I3": [ 41 ],
"O": [ 188 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 135 ],
"I2": [ 189 ],
"I3": [ 60 ],
"O": [ 190 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 191 ],
"Q": [ 192 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 189 ],
"I2": [ 193 ],
"I3": [ 41 ],
"O": [ 191 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 135 ],
"I2": [ 192 ],
"I3": [ 60 ],
"O": [ 193 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 194 ],
"Q": [ 195 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 192 ],
"I2": [ 196 ],
"I3": [ 41 ],
"O": [ 194 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 135 ],
"I2": [ 195 ],
"I3": [ 60 ],
"O": [ 196 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 197 ],
"Q": [ 198 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 195 ],
"I2": [ 199 ],
"I3": [ 41 ],
"O": [ 197 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 135 ],
"I2": [ 198 ],
"I3": [ 60 ],
"O": [ 199 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 200 ],
"Q": [ 201 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011101111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 201 ],
"I2": [ 174 ],
"I3": [ 202 ],
"O": [ 200 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 198 ],
"I3": [ 41 ],
"O": [ 202 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 203 ],
"Q": [ 204 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011101111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 204 ],
"I2": [ 174 ],
"I3": [ 205 ],
"O": [ 203 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 201 ],
"I3": [ 41 ],
"O": [ 205 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 206 ],
"I3": [ 41 ],
"O": [ 186 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 135 ],
"I2": [ 187 ],
"I3": [ 60 ],
"O": [ 206 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 81 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 80 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_10": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 91 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_11": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 90 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_12": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 89 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_13": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 88 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_14": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 87 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_15": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 86 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_16": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 101 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_17": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 100 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_18": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 99 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_19": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 98 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 79 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_20": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 97 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_21": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 96 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_22": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 95 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_23": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 94 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_24": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 105 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_25": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 104 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_26": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 103 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_27": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 102 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_28": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 71 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_29": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 208 ],
"E": [ 207 ],
"Q": [ 72 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 78 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_30": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 209 ],
"E": [ 207 ],
"Q": [ 64 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_31": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 210 ],
"E": [ 207 ],
"Q": [ 68 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_31_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001110111010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 135 ],
"I1": [ 211 ],
"I2": [ 68 ],
"I3": [ 59 ],
"O": [ 210 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_31_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011101110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 56 ],
"I1": [ 66 ],
"I2": [ 173 ],
"I3": [ 59 ],
"O": [ 209 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_31_D_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 133 ],
"I3": [ 137 ],
"O": [ 208 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 85 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 84 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 83 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 82 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_8": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 93 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_9": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ "0" ],
"E": [ 207 ],
"Q": [ 92 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 212 ],
"Q": [ 213 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 214 ],
"Q": [ 215 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111101000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 174 ],
"I2": [ 215 ],
"I3": [ 216 ],
"O": [ 214 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 41 ],
"I3": [ 213 ],
"O": [ 216 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 217 ],
"Q": [ 218 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111101000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 174 ],
"I2": [ 218 ],
"I3": [ 219 ],
"O": [ 217 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 41 ],
"I3": [ 215 ],
"O": [ 219 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 220 ],
"Q": [ 221 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111101000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 174 ],
"I2": [ 221 ],
"I3": [ 222 ],
"O": [ 220 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 41 ],
"I3": [ 218 ],
"O": [ 222 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 223 ],
"Q": [ 224 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111101000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 174 ],
"I2": [ 224 ],
"I3": [ 225 ],
"O": [ 223 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 41 ],
"I3": [ 221 ],
"O": [ 225 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 226 ],
"Q": [ 175 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 175 ],
"I2": [ 174 ],
"I3": [ 227 ],
"O": [ 226 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 224 ],
"I3": [ 41 ],
"O": [ 227 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 228 ],
"Q": [ 229 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 229 ],
"I2": [ 174 ],
"I3": [ 176 ],
"O": [ 228 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 60 ],
"I2": [ 174 ],
"I3": [ 213 ],
"O": [ 212 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:8.57-8.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 230 ],
"E": [ 231 ],
"Q": [ 48 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000111110001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 41 ],
"I1": [ 165 ],
"I2": [ 49 ],
"I3": [ 232 ],
"O": [ 230 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000010100001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 233 ],
"I1": [ 48 ],
"I2": [ 211 ],
"I3": [ 59 ],
"O": [ 232 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 131 ],
"I1": [ 57 ],
"I2": [ 115 ],
"I3": [ 66 ],
"O": [ 233 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 49 ],
"I3": [ 211 ],
"O": [ 231 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 135 ],
"I3": [ 211 ],
"O": [ 207 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 56 ],
"I2": [ 57 ],
"I3": [ 58 ],
"O": [ 211 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_oe_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 234 ],
"Q": [ 185 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_oe_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011101111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 185 ],
"I2": [ 174 ],
"I3": [ 235 ],
"O": [ 234 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_oe_SB_LUT4_I1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 204 ],
"I3": [ 41 ],
"O": [ 235 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_se0_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 15 ],
"D": [ 236 ],
"Q": [ 179 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_se0_SB_DFF_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 60 ],
"I1": [ 179 ],
"I2": [ 174 ],
"I3": [ 237 ],
"O": [ 236 ]
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_se0_SB_DFF_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 229 ],
"I3": [ 41 ],
"O": [ 237 ]
}
}
},
"netnames": {
"BOOT_LED": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:73.9-73.17"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:21.13-21.16"
}
},
"LED": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:36.14-36.17"
}
},
"PIN_RX": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:25.13-25.19"
}
},
"PIN_TX": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:24.14-24.20"
}
},
"PULSE_LED": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:153.9-153.18"
}
},
"RUNTIME_LED": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:154.9-154.20"
}
},
"SPI_IO0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:30.14-30.21"
}
},
"SPI_IO1": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:31.14-31.21"
}
},
"SPI_IO2": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:32.14-32.21"
}
},
"SPI_IO3": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:33.14-33.21"
}
},
"SPI_SCK": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:29.14-29.21"
}
},
"SPI_SS": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:28.14-28.20"
}
},
"USBN": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:41.14-41.18"
}
},
"USBP": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:40.14-40.18"
}
},
"USBPU": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:39.14-39.19"
}
},
"USER_LED": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:151.9-151.17"
}
},
"USER_LED_ENABLE": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:152.9-152.24"
}
},
"clk12": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"keep": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:51.18-51.23"
}
},
"clk48": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:49.9-49.14"
}
},
"clk48_locked": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:50.9-50.21",
"unused_bits": "0 "
}
},
"clk_locked": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:64.9-64.19",
"unused_bits": "0 "
}
},
"flash_io0_di": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:123.37-123.49",
"unused_bits": "0 "
}
},
"flash_io0_do": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:123.23-123.35"
}
},
"flash_io0_oe": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:123.9-123.21"
}
},
"flash_io1_di": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:124.37-124.49",
"unused_bits": "0 "
}
},
"flash_io1_do": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:124.23-124.35"
}
},
"flash_io1_oe": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:124.9-124.21"
}
},
"flash_io2_di": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:125.37-125.49",
"unused_bits": "0 "
}
},
"flash_io2_do": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:125.23-125.35"
}
},
"flash_io2_oe": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:125.9-125.21"
}
},
"flash_io3_di": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:126.37-126.49",
"unused_bits": "0 "
}
},
"flash_io3_do": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:126.23-126.35"
}
},
"flash_io3_oe": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:126.9-126.21"
}
},
"gpio": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:150.15-150.19"
}
},
"iomem_ready": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:142.16-142.27"
}
},
"led_pulse_ctr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:148.15-148.28"
}
},
"pll48.clock_in": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"hdlname": "pll48 clock_in",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:54.8-54.75|../src/nevercast_tinysoc_tinysoc-usb_pll_48m_0.1/pll.v:14.9-14.17"
}
},
"pll48.clock_out": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "pll48 clock_out",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:54.8-54.75|../src/nevercast_tinysoc_tinysoc-usb_pll_48m_0.1/pll.v:15.9-15.18"
}
},
"pll48.locked": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"hdlname": "pll48 locked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:54.8-54.75|../src/nevercast_tinysoc_tinysoc-usb_pll_48m_0.1/pll.v:16.9-16.15",
"unused_bits": "0 "
}
},
"reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:71.9-71.14"
}
},
"reset_cnt": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:69.15-69.24"
}
},
"resetn": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:72.9-72.15"
}
},
"uart.clk_48mhz": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart clk_48mhz",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:36.10-36.19"
}
},
"uart.debug": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "x", "x", "x", "x", "0", "0", "x", "x" ],
"attributes": {
"hdlname": "uart debug",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:53.17-53.22"
}
},
"uart.pin_usb_n": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"hdlname": "uart pin_usb_n",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:41.10-41.19"
}
},
"uart.pin_usb_p": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"hdlname": "uart pin_usb_p",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:40.10-40.19"
}
},
"uart.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:37.9-37.14"
}
},
"uart.uart.clk_48mhz": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart clk_48mhz",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:127.10-127.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.bytes_sent": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst bytes_sent",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:103.13-103.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:5.9-5.12|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.ctrl_xfr_state": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst ctrl_xfr_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:43.13-43.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.data_stage_end": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst data_stage_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:49.7-49.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_in_data_transfer_done.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_in_data_transfer_done clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:2.9-2.12|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:117.24-121.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_end.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_end clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:16.9-16.12|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:84.25-88.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_end.in": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_end in",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:17.9-17.11|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:84.25-88.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_end.in_q": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_end in_q",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:20.7-20.11|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:84.25-88.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_end.out": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_end out",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:18.10-18.13|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:84.25-88.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_start.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_start clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:2.9-2.12|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:78.24-82.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_start.in": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_start in",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:3.9-3.11|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:78.24-82.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.detect_pkt_start.in_q": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst detect_pkt_start in_q",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/edge_detect.v:6.7-6.11|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:78.24-82.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.dev_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:7.16-7.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.dev_addr_i": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst dev_addr_i",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:56.13-56.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:32.9-32.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:29.20-29.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_data_free": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_data_free",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:27.9-27.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:28.10-28.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_grant": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:26.9-26.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:25.10-25.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.in_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst in_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:31.14-31.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.new_dev_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst new_dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:132.13-132.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:19.9-19.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:14.9-14.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:16.10-16.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_data_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_data_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:61.7-61.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_grant": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:13.9-13.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:12.10-12.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_setup": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_setup",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:15.9-15.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.out_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst out_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:18.10-18.22|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.pkt_end": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst pkt_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:76.8-76.15|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:6.9-6.14|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.rom_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst rom_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:129.13-129.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.rom_length": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst rom_length",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:104.13-104.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.save_dev_addr": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst save_dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:131.7-131.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.send_zero_length_data_pkt": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst send_zero_length_data_pkt",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:51.7-51.32|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.setup_data_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst setup_data_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:65.13-65.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.setup_stage_end": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst setup_stage_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:48.7-48.22|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_ep_inst.status_stage_end": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_ep_inst status_stage_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:203.22-228.4|../src/usbserial_0/usb/usb_serial_ctrl_ep.v:50.7-50.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:177.8-177.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:174.14-174.29|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_data_free": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_data_free",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:172.8-172.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:173.8-173.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_grant": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:171.8-171.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:170.8-170.22|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_in_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_in_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:176.8-176.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:168.8-168.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:164.8-164.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:166.8-166.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_grant": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:163.8-163.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:162.8-162.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_setup": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_setup",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:165.8-165.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.ctrl_out_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart ctrl_out_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:167.8-167.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.debug": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "x", "x", "x", "x", "0", "0", "x", "x" ],
"attributes": {
"hdlname": "uart uart debug",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:148.17-148.22|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.dev_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:159.14-159.22|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.frame_index": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart frame_index",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:198.15-198.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.host_presence_timeout": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart host_presence_timeout",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:201.7-201.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.host_presence_timer": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart host_presence_timer",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:200.14-200.33|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:128.9-128.14|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:195.8-195.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:192.14-192.31|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_data_done": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_data_done",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:193.8-193.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_data_free": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_data_free",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:190.8-190.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:191.8-191.29|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:188.8-188.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_in_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_in_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:194.8-194.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:186.8-186.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:182.8-182.32|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:184.8-184.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_grant": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:181.8-181.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:180.8-180.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_setup": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_setup",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:183.8-183.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.serial_out_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart serial_out_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:185.8-185.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.uart_in_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart uart_in_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:139.15-139.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.uart_in_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart uart_in_ready",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:141.15-141.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.uart_in_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart uart_in_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:140.15-140.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.uart_out_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart uart_out_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:144.16-144.29|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.uart_out_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart uart_out_ready",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:146.16-146.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.uart_out_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart uart_out_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:145.16-145.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.arb_in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst arb_in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:71.14-71.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.bit_strobe": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst bit_strobe",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:74.8-74.18|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:5.9-5.12|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.debug": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x", "0", "0", "x", "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst debug",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:68.16-68.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.dev_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:6.15-6.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.frame_index": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst frame_index",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:51.17-51.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_acked": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:44.27-44.38|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:41.30-41.40|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_data_done": {
"hide_name": 0,
"bits": [ "x", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_data_done",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:42.26-42.41|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_data_free": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_data_free",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:39.27-39.42|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_data_put": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:40.26-40.40|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_grant": {
"hide_name": 0,
"bits": [ "0", "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:38.27-38.38|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_req": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:37.26-37.35|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_ep_stall": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:43.26-43.37|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:87.14-87.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.in_tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst in_tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:86.8-86.23|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_acked": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:31.28-31.40|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_data_avail": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:26.28-26.45|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_data_get": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:28.27-28.42|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_grant": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:25.28-25.40|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_req": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:24.27-24.37|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_setup": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_setup",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:27.28-27.40|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_ep_stall": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:30.27-30.39|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:89.14-89.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.out_tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst out_tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:88.8-88.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:18.9-18.14|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:78.14-78.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:82.14-82.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:81.8-81.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_endp": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_endp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:79.14-79.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_frame_num": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_frame_num",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:80.15-80.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:77.14-77.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_pkt_end": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_pkt_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:76.8-76.18|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.rx_pkt_start": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst rx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:75.8-75.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.tx_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst tx_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:95.8-95.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.tx_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst tx_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:96.8-96.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:94.14-94.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:92.8-92.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_arb_inst.arb_in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_arb_inst arb_in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_arb.v:15.20-15.34|../src/usbserial_0/usb/usb_fs_pe.v:106.5-114.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_arb_inst.i": {
"hide_name": 0,
"bits": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"signed": 1,
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_arb_inst i",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_arb.v:17.11-17.12|../src/usbserial_0/usb/usb_fs_pe.v:106.5-114.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_arb_inst.in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_arb_inst in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_arb.v:9.30-9.40|../src/usbserial_0/usb/usb_fs_pe.v:106.5-114.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_arb_inst.in_ep_grant": {
"hide_name": 0,
"bits": [ "0", "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_arb_inst in_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_arb.v:8.31-8.42|../src/usbserial_0/usb/usb_fs_pe.v:106.5-114.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_arb_inst.in_ep_req": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_arb_inst in_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_arb.v:7.26-7.35|../src/usbserial_0/usb/usb_fs_pe.v:106.5-114.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.buffer_get_addr": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x", "x", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst buffer_get_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:119.14-119.29|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.buffer_put_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst buffer_put_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:118.14-118.29|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:6.9-6.12|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.current_endp": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst current_endp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:66.13-66.25|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.data_toggle": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst data_toggle",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:98.26-98.37|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.debug": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x", "0", "0", "x", "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst debug",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:56.16-56.21|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.dev_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:9.15-9.23|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.endp_free": {
"hide_name": 0,
"bits": [ "1", "1" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst endp_free",
"init": "00",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:125.26-125.35|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.endp_ready_to_send": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst endp_ready_to_send",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:122.26-122.44|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.ep_num_decoder": {
"hide_name": 0,
"bits": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"signed": 1,
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst ep_num_decoder",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:266.11-266.25|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.ep_put_addr[0]": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst ep_put_addr[0]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:103.13-103.24|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.ep_put_addr[1]": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst ep_put_addr[1]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:103.13-103.24|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.ep_state[0]": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst ep_state[0]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:62.13-62.21|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.ep_state[1]": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst ep_state[1]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:62.13-62.21|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.i": {
"hide_name": 0,
"bits": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"signed": 1,
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst i",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:106.11-106.12|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_acked": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:20.31-20.42|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:17.15-17.25|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_data_done": {
"hide_name": 0,
"bits": [ "x", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_data_done",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:18.26-18.41|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_data_free": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_data_free",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:15.31-15.46|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_data_put": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:16.26-16.40|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_num": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_num",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:115.13-115.22|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_ep_stall": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:19.26-19.37|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_xfr_end": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_xfr_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:92.7-92.17|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_xfr_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_xfr_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:91.7-91.19|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.in_xfr_state": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst in_xfr_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:86.13-86.25|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:7.9-7.14|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.reset_ep": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst reset_ep",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:8.26-8.34|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.rx_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst rx_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:34.15-34.22|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.rx_endp": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst rx_endp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:35.15-35.22|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.rx_frame_num": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst rx_frame_num",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:36.16-36.28|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.rx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst rx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:33.15-33.21|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.rx_pkt_end": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst rx_pkt_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:29.9-29.19|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.rx_pkt_start": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst rx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:28.9-28.21|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.tx_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst tx_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:52.10-52.23|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.tx_data_avail_i": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst tx_data_avail_i",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:160.8-160.23|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.tx_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst tx_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:53.9-53.20|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:49.20-49.26|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_in_pe_inst.tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_in_pe_inst tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_in_pe.v:44.14-44.26|../src/usbserial_0/usb/usb_fs_pe.v:126.5-158.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_arb_inst.i": {
"hide_name": 0,
"bits": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"signed": 1,
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_arb_inst i",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_arb.v:10.11-10.12|../src/usbserial_0/usb/usb_fs_pe.v:118.5-122.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_arb_inst.out_ep_grant": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_arb_inst out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_arb.v:8.32-8.44|../src/usbserial_0/usb/usb_fs_pe.v:118.5-122.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_arb_inst.out_ep_req": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_arb_inst out_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_arb.v:7.27-7.37|../src/usbserial_0/usb/usb_fs_pe.v:118.5-122.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.buffer_get_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst buffer_get_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:110.14-110.29|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.buffer_put_addr": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x", "x", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst buffer_put_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:109.14-109.29|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:6.9-6.12|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.current_endp": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst current_endp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:93.13-93.25|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.data_toggle": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst data_toggle",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:90.27-90.38|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.dev_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst dev_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:9.15-9.23|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.ep_get_addr[0]": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst ep_get_addr[0]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:100.13-100.24|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.ep_get_addr[1]": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst ep_get_addr[1]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:100.13-100.24|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.ep_num_decoder": {
"hide_name": 0,
"bits": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"signed": 1,
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst ep_num_decoder",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:265.11-265.25|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.ep_state[0]": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst ep_state[0]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:60.13-60.21|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.ep_state[1]": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst ep_state[1]",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:60.13-60.21|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.nak_out_transfer": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst nak_out_transfer",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:87.7-87.23|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.new_pkt_end": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst new_pkt_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:76.7-76.18|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_acked": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:19.32-19.44|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_data_avail": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:14.28-14.45|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_data_avail_i": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_data_avail_i",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:83.27-83.46|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_data_avail_j": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_data_avail_j",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:84.27-84.46|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_data_get": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:16.27-16.42|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_grant": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:21.27-21.39|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_num": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_num",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:80.13-80.23|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_setup": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_setup",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:15.32-15.44|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_ep_stall": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:18.27-18.39|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_xfr_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_xfr_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:75.7-75.20|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.out_xfr_state": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst out_xfr_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:72.13-72.26|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:7.9-7.14|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.reset_ep": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst reset_ep",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:8.27-8.35|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rollback_data": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rollback_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:77.7-77.20|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:34.15-34.22|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:40.15-40.22|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:39.9-39.20|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_endp": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_endp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:35.15-35.22|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_frame_num": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_frame_num",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:36.16-36.28|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:33.15-33.21|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_pkt_end": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_pkt_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:29.9-29.19|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.rx_pkt_start": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst rx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:28.9-28.21|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:50.20-50.26|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_out_pe_inst.tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_out_pe_inst tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_out_pe.v:48.14-48.26|../src/usbserial_0/usb/usb_fs_pe.v:162.5-192.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.addr": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst addr",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:21.20-21.24|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase": {
"hide_name": 0,
"bits": [ 25, 22 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst bit_phase",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:120.13-120.22|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_1_D": {
"hide_name": 0,
"bits": [ 24, 22 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:131.24-131.37|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/techmap.v:300.23-300.24"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 24, 21 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:131.24-131.37|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/techmap.v:300.26-300.27"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R": {
"hide_name": 0,
"bits": [ 238, 239, 240, 241, 23 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:0.0-0.0|../src/usbserial_0/usb/usb_fs_rx.v:82.7-103.14|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/techmap.v:603.22-603.23",
"unused_bits": "0 1 2 3"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_I1": {
"hide_name": 0,
"bits": [ 26, 23, 27 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 28, 34, 36 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:0.0-0.0|../src/usbserial_0/usb/usb_fs_rx.v:82.7-103.14|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/techmap.v:608.19-608.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 29, 35 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_phase_SB_DFFSR_Q_R_SB_LUT4_I2_O_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 31, 33 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bit_strobe": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst bit_strobe",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:11.10-11.20|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.bitstuff_history": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst bitstuff_history",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:215.13-215.29|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:32.8-32.11|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.clk_48mhz": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst clk_48mhz",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:3.9-3.18|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.crc16": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst crc16",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:273.14-273.19|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.crc5": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst crc5",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:253.13-253.17|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.dpair": {
"hide_name": 0,
"bits": [ 27, 31 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst dpair",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:79.14-79.19|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.dpair_q": {
"hide_name": 0,
"bits": [ 37, 38, 27, 31 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst dpair_q",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:52.13-52.20|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.endp": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst endp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:22.20-22.24|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.frame_num": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst frame_num",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:23.21-23.30|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.full_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst full_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:236.13-236.21|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_history": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst line_history",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:143.13-143.25|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_state": {
"hide_name": 0,
"bits": [ 32, 30, 29 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst line_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:72.13-72.23|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.line_state_SB_DFFSR_Q_R": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.packet_end": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst packet_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:147.8-147.18|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.packet_start": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst packet_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:146.8-146.20|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.packet_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst packet_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:144.7-144.19|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:20.16-20.19|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.pid_complete": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst pid_complete",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:238.8-238.20|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.pkt_end": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst pkt_end",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:17.10-17.17|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.pkt_start": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:14.10-14.19|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:4.9-4.14|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.rx_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst rx_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:27.16-27.23|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.rx_data_buffer": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst rx_data_buffer",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:351.13-351.27|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.rx_data_buffer_full": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst rx_data_buffer_full",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:352.8-352.27|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.rx_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst rx_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:26.10-26.21|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.token_payload": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst token_payload",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:319.14-319.27|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_rx_inst.token_payload_done": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_rx_inst token_payload_done",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_rx.v:320.8-320.26|../src/usbserial_0/usb/usb_fs_pe.v:194.13-209.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count": {
"hide_name": 0,
"bits": [ 46, 44, 42 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bit_count",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:50.13-50.22|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 45, 43, 40 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:171.22-171.35|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_count_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 46, 47 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:171.22-171.35|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history": {
"hide_name": 0,
"bits": [ 54, 53, 52, 51, 50, 48 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bit_history",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:53.14-53.25|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_history_q": {
"hide_name": 0,
"bits": [ 54, 53, 52, 51, 50 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bit_history_q",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:52.13-52.26|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bit_strobe": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bit_strobe",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:8.9-8.19|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff": {
"hide_name": 0,
"bits": [ 55 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bitstuff",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:54.8-54.16|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 141, 60, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 56, 57, 58, 59 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 64, 61, 62, 67 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 129, 66, 124, 57 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0": {
"hide_name": 0,
"bits": [ 74, 75, 76, 77 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 68, 69, 70 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 61, 65, 63, 64 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 71, 72, 73 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_I2_O_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 58, 57, 59 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 106, 51, 50 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_q": {
"hide_name": 0,
"bits": [ 107 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bitstuff_q",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:55.7-55.17|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_qq": {
"hide_name": 0,
"bits": [ 108 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bitstuff_qq",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:56.7-56.18|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_qqq": {
"hide_name": 0,
"bits": [ 109 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bitstuff_qqq",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:57.7-57.19|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.bitstuff_qqqq": {
"hide_name": 0,
"bits": [ 110 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst bitstuff_qqqq",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:58.7-58.20|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.byte_strobe": {
"hide_name": 0,
"bits": [ 59 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst byte_strobe",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:49.7-49.18|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.byte_strobe_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 111 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:154.23-154.42|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.byte_strobe_SB_DFFSR_Q_R": {
"hide_name": 0,
"bits": [ 112 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:27.8-27.11|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.clk_48mhz": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst clk_48mhz",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:4.9-4.18|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16": {
"hide_name": 0,
"bits": [ 123, 124, 121, 120, 118, 119, 132, 131, 130, 129, 128, 127, 126, 116, 117, 115 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst crc16",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:80.14-80.19|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_13_D": {
"hide_name": 0,
"bits": [ 122 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 113 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:187.3-210.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.crc16_invert": {
"hide_name": 0,
"bits": [ 125 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst crc16_invert",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:185.8-185.20|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload": {
"hide_name": 0,
"bits": [ 134 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst data_payload",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:70.7-70.19|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 133, 137 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_DFFE_Q_E_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 72, 135, 136, 59 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_payload_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 114 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg": {
"hide_name": 0,
"bits": [ 48, 165, 161, 157, 152, 147, 141, 139 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst data_shift_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:38.13-38.27|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_1_D": {
"hide_name": 0,
"bits": [ 140 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 41, 139, 142, 143 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D": {
"hide_name": 0,
"bits": [ 146 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 148, 149, 141, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 144, 150 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D": {
"hide_name": 0,
"bits": [ 151 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 41, 147, 153, 154 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 144, 145 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 144, 155 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4_D": {
"hide_name": 0,
"bits": [ 156 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 152, 158, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 157, 159, 60 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5_D": {
"hide_name": 0,
"bits": [ 160 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 157, 162, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 161, 163, 60 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6_D": {
"hide_name": 0,
"bits": [ 164 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 161, 166, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 165, 167, 60 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D": {
"hide_name": 0,
"bits": [ 138 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 139, 168, 41, 169 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 57, 123, 170, 171 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 172, 67, 65 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_I1_1_O": {
"hide_name": 0,
"bits": [ 59, 135, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.data_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_I1_1_O_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 60, 229, 174, 176 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dn": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst dn",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:13.14-13.16|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dn_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 177 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:238.15-238.18|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst dp",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:12.14-12.16|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 180 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:216.3-241.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 178 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_eop": {
"hide_name": 0,
"bits": [ 181, 184, 183 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst dp_eop",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:212.13-212.19|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.dp_eop_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 182 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst oe",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:11.14-11.16|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg": {
"hide_name": 0,
"bits": [ 185, 204, 201, 198, 195, 192, 189, 187 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst oe_shift_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:39.13-39.25|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_1_D": {
"hide_name": 0,
"bits": [ 188 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 187, 190, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_2_D": {
"hide_name": 0,
"bits": [ 191 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 189, 193, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_3_D": {
"hide_name": 0,
"bits": [ 194 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 192, 196, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_4_D": {
"hide_name": 0,
"bits": [ 197 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 195, 199, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_5_D": {
"hide_name": 0,
"bits": [ 200 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 201, 174, 202 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_6_D": {
"hide_name": 0,
"bits": [ 203 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_6_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 204, 174, 205 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_D": {
"hide_name": 0,
"bits": [ 186 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.oe_shift_reg_SB_DFF_Q_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 206, 41 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:20.15-20.18|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pidq": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst pidq",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:30.13-30.17|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:16.9-16.18|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state": {
"hide_name": 0,
"bits": [ 68, 64, 72, 71, 102, 103, 104, 105, 94, 95, 96, 97, 98, 99, 100, 101, 86, 87, 88, 89, 90, 91, 92, 93, 82, 83, 84, 85, 78, 79, 80, 81 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst pkt_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:72.14-72.23|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_31_D": {
"hide_name": 0,
"bits": [ 210, 209, 208, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:0.0-0.0|../src/usbserial_0/usb/usb_fs_tx.v:83.5-151.12|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6|/usr/local/bin/../share/yosys/techmap.v:605.21-605.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.pkt_state_SB_DFFE_Q_31_D_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 56, 66, 173, 59 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:5.9-5.14|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg": {
"hide_name": 0,
"bits": [ 179, 229, 175, 224, 221, 218, 215, 213 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst se0_shift_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:40.13-40.26|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_1_D": {
"hide_name": 0,
"bits": [ 214 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_1_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 174, 215, 216 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_2_D": {
"hide_name": 0,
"bits": [ 217 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_2_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 174, 218, 219 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_3_D": {
"hide_name": 0,
"bits": [ 220 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_3_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 174, 221, 222 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_4_D": {
"hide_name": 0,
"bits": [ 223 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_4_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 174, 224, 225 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_5_D": {
"hide_name": 0,
"bits": [ 226 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_5_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 175, 174, 227 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_6_D": {
"hide_name": 0,
"bits": [ 228 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.se0_shift_reg_SB_DFF_Q_D": {
"hide_name": 0,
"bits": [ 212 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst serial_tx_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:43.8-43.22|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 230 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 41, 165, 49, 232 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 233, 48, 211, 59 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 231 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_E_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 135, 211, 68, 59 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_data_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 207 ],
"attributes": {
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_oe": {
"hide_name": 0,
"bits": [ 185 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst serial_tx_oe",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:44.8-44.20|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_oe_SB_DFF_Q_D": {
"hide_name": 0,
"bits": [ 234 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_oe_SB_LUT4_I1_I3": {
"hide_name": 0,
"bits": [ 60, 185, 174, 235 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_se0": {
"hide_name": 0,
"bits": [ 179 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst serial_tx_se0",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:45.8-45.21|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_se0_SB_DFF_Q_D": {
"hide_name": 0,
"bits": [ 236 ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:82.3-180.6|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.serial_tx_se0_SB_DFF_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 60, 179, 174, 237 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.tx_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst tx_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:23.9-23.22|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_inst.tx_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_inst tx_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx.v:24.14-24.25|../src/usbserial_0/usb/usb_fs_pe.v:225.13-238.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_mux_inst.in_tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_mux_inst in_tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx_mux.v:4.15-4.24|../src/usbserial_0/usb/usb_fs_pe.v:211.17-223.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_mux_inst.in_tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_mux_inst in_tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx_mux.v:3.9-3.24|../src/usbserial_0/usb/usb_fs_pe.v:211.17-223.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_mux_inst.out_tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_mux_inst out_tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx_mux.v:8.15-8.25|../src/usbserial_0/usb/usb_fs_pe.v:211.17-223.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_mux_inst.out_tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_mux_inst out_tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx_mux.v:7.9-7.25|../src/usbserial_0/usb/usb_fs_pe.v:211.17-223.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_mux_inst.tx_pid": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_mux_inst tx_pid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx_mux.v:12.16-12.22|../src/usbserial_0/usb/usb_fs_pe.v:211.17-223.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_fs_tx_mux_inst.tx_pkt_start": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_fs_tx_mux_inst tx_pkt_start",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_tx_mux.v:11.10-11.22|../src/usbserial_0/usb/usb_fs_pe.v:211.17-223.4|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_n_tx": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_n_tx",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:61.10-61.18|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_p_tx": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_p_tx",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:60.10-60.18|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_fs_pe_inst.usb_tx_en": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"hdlname": "uart uart usb_fs_pe_inst usb_tx_en",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:270.5-308.4|../src/usbserial_0/usb/usb_fs_pe.v:66.10-66.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_n_tx": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"hdlname": "uart uart usb_n_tx",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:133.10-133.18|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_p_tx": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"hdlname": "uart uart usb_p_tx",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:132.10-132.18|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_tx_en": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"hdlname": "uart uart usb_tx_en",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:136.10-136.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.clk": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst clk",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:53.9-53.12|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.debug": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst debug",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:91.16-91.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:79.9-79.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:76.16-76.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_data_done": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_data_done",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:77.10-77.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_data_done_reg": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_data_done_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:220.13-220.32|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_data_free": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_data_free",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:73.9-73.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_data_put": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_data_put",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:75.10-75.24|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:71.10-71.19|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_req_reg": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_req_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:219.13-219.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.in_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst in_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:78.10-78.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_acked": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_acked",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:66.9-66.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_data_avail": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_data_avail",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:61.9-61.26|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_data_get": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_data_get",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:63.10-63.25|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_data_get_reg": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_data_get_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:108.13-108.32|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_grant": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_grant",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:60.9-60.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_req": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_req",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:59.10-59.20|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_req_reg": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_req_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:107.13-107.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_setup": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_setup",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:62.9-62.21|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_ep_stall": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_ep_stall",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:65.10-65.22|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_stall_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_stall_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:132.13-132.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.out_stall_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst out_stall_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:133.13-133.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.pipeline_in_state": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst pipeline_in_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:223.13-223.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.pipeline_out_state": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst pipeline_out_state",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:111.13-111.31|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst reset",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:54.9-54.14|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_in_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_in_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:82.15-82.27|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_in_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_in_ready",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:84.15-84.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_in_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_in_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:83.15-83.28|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_out_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_out_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:87.16-87.29|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_out_data_reg": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_out_data_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:102.13-102.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_out_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_out_ready",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:89.16-89.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_out_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_out_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:88.16-88.30|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart.usb_uart_bridge_ep_inst.uart_out_valid_reg": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart usb_uart_bridge_ep_inst uart_out_valid_reg",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/usbserial_0/usb/usb_uart_core.v:230.22-265.4|../src/usbserial_0/usb/usb_uart_bridge_ep.v:104.13-104.31|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:64.19-86.6"
}
},
"uart.uart_in_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart_in_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:44.15-44.27"
}
},
"uart.uart_in_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart_in_ready",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:46.15-46.28"
}
},
"uart.uart_in_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart_in_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:45.15-45.28"
}
},
"uart.uart_out_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "uart uart_out_data",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:49.16-49.29"
}
},
"uart.uart_out_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart_out_ready",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:51.16-51.30"
}
},
"uart.uart_out_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "uart uart_out_valid",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:50.16-50.30"
}
},
"uart.usb_n_in": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"hdlname": "uart usb_n_in",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:89.10-89.18"
}
},
"uart.usb_n_tx": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"hdlname": "uart usb_n_tx",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:57.10-57.18"
}
},
"uart.usb_p_in": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"hdlname": "uart usb_p_in",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:88.10-88.18"
}
},
"uart.usb_p_tx": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"hdlname": "uart usb_p_tx",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:56.10-56.18"
}
},
"uart.usb_tx_en": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"hdlname": "uart usb_tx_en",
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:100.13-118.5|../src/nevercast_tinysoc_tinysoc_0.1/peripheral/usb_uart/usb_uart.v:60.10-60.19"
}
},
"uart_in_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:89.15-89.27"
}
},
"uart_in_ready": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:91.15-91.28"
}
},
"uart_in_valid": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:90.15-90.28"
}
},
"was_in_reset": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"src": "../src/nevercast_tinysoc_tinysoc_0.1/tinysoc.v:70.8-70.20"
}
}
}
}
}
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment