Skip to content

Instantly share code, notes, and snippets.

@newjam
Created April 16, 2018 00:56
Show Gist options
  • Save newjam/c02caccbe23800593b21f7f62bf2b933 to your computer and use it in GitHub Desktop.
Save newjam/c02caccbe23800593b21f7f62bf2b933 to your computer and use it in GitHub Desktop.
module test;
reg clk;
wire out;
initial begin
$display("newjam wave decoder");
clk = 0;
//$monitor("%s", out);
end
// monitor the output of grom
always @* begin
$display("%d", out);
end
// every 100 ticks tock the clock
always #100 clk = !clk;
// run grom using our clock
grom grom1(clk, out);
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment