Skip to content

Instantly share code, notes, and snippets.

@nhasbun
Created October 10, 2017 18:39
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save nhasbun/78c610a019dd6215f7ffd957fed32da0 to your computer and use it in GitHub Desktop.
Save nhasbun/78c610a019dd6215f7ffd957fed32da0 to your computer and use it in GitHub Desktop.
Sublime text project file for Verilog
{
"folders":
[
{
"file_include_patterns":
["*.v"],
"path": "."
}
]
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment