Skip to content

Instantly share code, notes, and snippets.

@nomunomu0504
nomunomu0504 / ha.sv
Last active July 18, 2017 01:54
【Mac】macでVerilogHDLを動かす ref: http://qiita.com/nomunomu/items/7bd151cbb9cce3fbd219
// 半加算器 ha.sv
module ha(a,b,s,c);
input a,b;
output s,c;
assign s=a^c;
assign c=a&b;
endmodule
// 半加算器haのテストベンチ ha_tb.sv