Skip to content

Instantly share code, notes, and snippets.

@nuft
Created December 15, 2015 00:52
Show Gist options
  • Save nuft/7d708ed8acf27e102f08 to your computer and use it in GitHub Desktop.
Save nuft/7d708ed8acf27e102f08 to your computer and use it in GitHub Desktop.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.13.11" version="1.0">
This file is intended to be loaded by Logisim-evolution (https://github.com/reds-heig/logisim-evolution).
<lib desc="#Wiring" name="0">
<tool name="Splitter">
<a name="facing" val="north"/>
</tool>
<tool name="Pin">
<a name="facing" val="south"/>
</tool>
<tool name="Probe">
<a name="facing" val="west"/>
</tool>
<tool name="Tunnel">
<a name="width" val="2"/>
</tool>
<tool name="Constant">
<a name="width" val="2"/>
<a name="value" val="0x3"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2">
<tool name="Multiplexer">
<a name="enable" val="false"/>
</tool>
<tool name="Demultiplexer">
<a name="enable" val="false"/>
</tool>
</lib>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#HDL-IP" name="6">
<tool name="VHDL Entity">
<a name="content">--------------------------------------------------------------------------------
-- HEIG-VD, institute REDS, 1400 Yverdon-les-Bains
-- Project :
-- File :
-- Autor :
-- Date :
--
--------------------------------------------------------------------------------
-- Description :
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--use ieee.numeric_std.all;
entity VHDL_Component is
port(
------------------------------------------------------------------------------
--Insert input ports below
horloge_i : in std_logic; -- input bit example
val_i : in std_logic_vector(3 downto 0); -- input vector example
------------------------------------------------------------------------------
--Insert output ports below
max_o : out std_logic; -- output bit example
cpt_o : out std_logic_Vector(3 downto 0) -- output vector example
);
end VHDL_Component;
--------------------------------------------------------------------------------
--Complete your VHDL description below
architecture type_architecture of VHDL_Component is
begin
end type_architecture;
</a>
</tool>
</lib>
<lib desc="#TCL" name="7">
<tool name="TclGeneric">
<a name="content">library ieee;
use ieee.std_logic_1164.all;
entity TCL_Generic is
port(
--Insert input ports below
horloge_i : in std_logic; -- input bit example
val_i : in std_logic_vector(3 downto 0); -- input vector example
--Insert output ports below
max_o : out std_logic; -- output bit example
cpt_o : out std_logic_Vector(3 downto 0) -- output vector example
);
end TCL_Generic;
</a>
</tool>
</lib>
<lib desc="#Base" name="8">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="#BFH-Praktika" name="9"/>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
<a name="tickmain" val="half_period"/>
</options>
<mappings>
<tool lib="8" map="Button2" name="Menu Tool"/>
<tool lib="8" map="Button3" name="Menu Tool"/>
<tool lib="8" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="8" name="Poke Tool"/>
<tool lib="8" name="Edit Tool"/>
<tool lib="8" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin"/>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<a name="circuitvhdl" val="false"/>
<a name="circuitvhdlpath" val=""/>
<wire from="(100,160)" to="(130,160)"/>
<wire from="(100,280)" to="(130,280)"/>
<wire from="(100,40)" to="(130,40)"/>
<wire from="(100,70)" to="(130,70)"/>
<wire from="(100,370)" to="(130,370)"/>
<wire from="(100,250)" to="(130,250)"/>
<wire from="(100,130)" to="(130,130)"/>
<wire from="(100,100)" to="(130,100)"/>
<wire from="(100,340)" to="(130,340)"/>
<wire from="(100,310)" to="(130,310)"/>
<wire from="(100,220)" to="(130,220)"/>
<wire from="(100,190)" to="(130,190)"/>
<comp lib="5" loc="(190,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L56"/>
</comp>
<comp lib="5" loc="(190,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L54"/>
</comp>
<comp lib="5" loc="(290,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L09"/>
</comp>
<comp lib="5" loc="(210,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L45"/>
</comp>
<comp lib="5" loc="(150,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L79"/>
</comp>
<comp loc="(130,310)" name="LED_Connector"/>
<comp loc="(130,130)" name="LED_Connector"/>
<comp lib="5" loc="(290,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L08"/>
</comp>
<comp lib="5" loc="(170,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L63"/>
</comp>
<comp lib="5" loc="(190,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L59"/>
</comp>
<comp lib="5" loc="(210,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L47"/>
</comp>
<comp lib="5" loc="(150,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L7B"/>
</comp>
<comp lib="5" loc="(230,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L31"/>
</comp>
<comp lib="5" loc="(270,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L11"/>
</comp>
<comp lib="5" loc="(250,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L27"/>
</comp>
<comp lib="5" loc="(250,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L29"/>
</comp>
<comp lib="5" loc="(290,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L01"/>
</comp>
<comp lib="5" loc="(230,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L33"/>
</comp>
<comp lib="5" loc="(150,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L72"/>
</comp>
<comp lib="5" loc="(170,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L6B"/>
</comp>
<comp lib="5" loc="(290,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L00"/>
</comp>
<comp lib="5" loc="(190,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L57"/>
</comp>
<comp lib="5" loc="(190,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L51"/>
</comp>
<comp loc="(130,220)" name="LED_Connector"/>
<comp lib="5" loc="(210,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L42"/>
</comp>
<comp lib="5" loc="(150,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L75"/>
</comp>
<comp loc="(130,160)" name="LED_Connector"/>
<comp lib="5" loc="(270,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L17"/>
</comp>
<comp lib="5" loc="(230,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L39"/>
</comp>
<comp lib="5" loc="(210,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L4B"/>
</comp>
<comp loc="(130,250)" name="LED_Connector"/>
<comp lib="5" loc="(190,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L58"/>
</comp>
<comp lib="5" loc="(210,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L41"/>
</comp>
<comp lib="5" loc="(190,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L53"/>
</comp>
<comp lib="5" loc="(250,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L26"/>
</comp>
<comp lib="5" loc="(270,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L10"/>
</comp>
<comp lib="5" loc="(230,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L34"/>
</comp>
<comp lib="5" loc="(190,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L52"/>
</comp>
<comp loc="(130,70)" name="LED_Connector"/>
<comp lib="5" loc="(170,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L62"/>
</comp>
<comp lib="5" loc="(270,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L12"/>
</comp>
<comp lib="5" loc="(270,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L15"/>
</comp>
<comp lib="5" loc="(270,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L18"/>
</comp>
<comp lib="5" loc="(150,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L70"/>
</comp>
<comp lib="5" loc="(170,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L64"/>
</comp>
<comp lib="5" loc="(250,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L23"/>
</comp>
<comp lib="5" loc="(170,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L69"/>
</comp>
<comp lib="5" loc="(210,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L46"/>
</comp>
<comp lib="5" loc="(230,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L32"/>
</comp>
<comp lib="5" loc="(170,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L60"/>
</comp>
<comp lib="5" loc="(290,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L06"/>
</comp>
<comp lib="5" loc="(190,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L55"/>
</comp>
<comp lib="5" loc="(150,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L78"/>
</comp>
<comp lib="5" loc="(150,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L74"/>
</comp>
<comp lib="5" loc="(210,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L49"/>
</comp>
<comp lib="5" loc="(230,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L38"/>
</comp>
<comp loc="(130,40)" name="LED_Connector"/>
<comp loc="(130,370)" name="LED_Connector"/>
<comp lib="5" loc="(170,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L6A"/>
</comp>
<comp lib="5" loc="(150,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L73"/>
</comp>
<comp lib="5" loc="(150,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L7A"/>
</comp>
<comp lib="5" loc="(170,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L68"/>
</comp>
<comp lib="5" loc="(170,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L61"/>
</comp>
<comp lib="5" loc="(190,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L5A"/>
</comp>
<comp loc="(130,190)" name="LED_Connector"/>
<comp lib="5" loc="(270,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L1B"/>
</comp>
<comp lib="5" loc="(210,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L43"/>
</comp>
<comp lib="5" loc="(250,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L2A"/>
</comp>
<comp lib="5" loc="(150,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L76"/>
</comp>
<comp lib="5" loc="(290,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L04"/>
</comp>
<comp lib="5" loc="(270,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L14"/>
</comp>
<comp lib="5" loc="(250,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L22"/>
</comp>
<comp lib="5" loc="(150,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L71"/>
</comp>
<comp loc="(130,340)" name="LED_Connector"/>
<comp lib="5" loc="(230,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L3B"/>
</comp>
<comp lib="5" loc="(170,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L66"/>
</comp>
<comp lib="5" loc="(290,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L03"/>
</comp>
<comp lib="5" loc="(290,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L07"/>
</comp>
<comp lib="5" loc="(230,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L36"/>
</comp>
<comp lib="5" loc="(270,310)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L19"/>
</comp>
<comp lib="5" loc="(190,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L50"/>
</comp>
<comp lib="5" loc="(290,100)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L02"/>
</comp>
<comp lib="5" loc="(230,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L35"/>
</comp>
<comp lib="5" loc="(230,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L3A"/>
</comp>
<comp lib="5" loc="(270,220)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L16"/>
</comp>
<comp lib="5" loc="(150,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L77"/>
</comp>
<comp lib="5" loc="(250,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L25"/>
</comp>
<comp lib="5" loc="(250,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L2B"/>
</comp>
<comp lib="5" loc="(250,70)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L21"/>
</comp>
<comp lib="5" loc="(210,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L4A"/>
</comp>
<comp lib="5" loc="(210,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L48"/>
</comp>
<comp lib="5" loc="(250,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L24"/>
</comp>
<comp lib="5" loc="(250,280)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L28"/>
</comp>
<comp lib="5" loc="(230,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L37"/>
</comp>
<comp lib="5" loc="(290,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L05"/>
</comp>
<comp loc="(130,100)" name="LED_Connector"/>
<comp lib="5" loc="(170,190)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L65"/>
</comp>
<comp lib="5" loc="(270,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L1A"/>
</comp>
<comp loc="(130,280)" name="LED_Connector"/>
<comp lib="5" loc="(210,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L40"/>
</comp>
<comp lib="5" loc="(250,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L20"/>
</comp>
<comp lib="5" loc="(230,40)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L30"/>
</comp>
<comp lib="5" loc="(290,340)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L0A"/>
</comp>
<comp lib="5" loc="(290,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L0B"/>
</comp>
<comp lib="5" loc="(190,370)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L5B"/>
</comp>
<comp lib="5" loc="(170,250)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L67"/>
</comp>
<comp lib="5" loc="(270,130)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L13"/>
</comp>
<comp lib="5" loc="(210,160)" name="LED">
<a name="facing" val="north"/>
<a name="label" val="L44"/>
</comp>
</circuit>
<circuit name="LED_Connector">
<a name="circuit" val="LED_Connector"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<a name="circuitvhdl" val="false"/>
<a name="circuitvhdlpath" val=""/>
<appear>
<rect fill="none" height="9" stroke="#000000" stroke-width="2" width="177" x="38" y="61"/>
<circ-port height="8" pin="430,130" width="8" x="36" y="66"/>
<circ-port height="10" pin="370,180" width="10" x="55" y="65"/>
<circ-port height="10" pin="400,180" width="10" x="75" y="65"/>
<circ-port height="10" pin="430,180" width="10" x="95" y="65"/>
<circ-port height="10" pin="460,180" width="10" x="115" y="65"/>
<circ-port height="10" pin="490,180" width="10" x="135" y="65"/>
<circ-port height="10" pin="520,180" width="10" x="155" y="65"/>
<circ-port height="10" pin="550,180" width="10" x="175" y="65"/>
<circ-port height="10" pin="580,180" width="10" x="195" y="65"/>
<circ-anchor facing="east" height="6" width="6" x="37" y="67"/>
</appear>
<wire from="(430,170)" to="(460,170)"/>
<wire from="(490,170)" to="(520,170)"/>
<wire from="(400,160)" to="(450,160)"/>
<wire from="(500,160)" to="(550,160)"/>
<wire from="(450,150)" to="(450,160)"/>
<wire from="(430,170)" to="(430,180)"/>
<wire from="(520,170)" to="(520,180)"/>
<wire from="(500,150)" to="(500,160)"/>
<wire from="(460,180)" to="(470,180)"/>
<wire from="(480,180)" to="(490,180)"/>
<wire from="(400,160)" to="(400,180)"/>
<wire from="(460,150)" to="(460,170)"/>
<wire from="(490,150)" to="(490,170)"/>
<wire from="(580,150)" to="(580,180)"/>
<wire from="(470,150)" to="(470,180)"/>
<wire from="(480,150)" to="(480,180)"/>
<wire from="(370,150)" to="(370,180)"/>
<wire from="(550,160)" to="(550,180)"/>
<wire from="(510,150)" to="(580,150)"/>
<wire from="(370,150)" to="(440,150)"/>
<comp lib="0" loc="(580,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(400,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(460,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(520,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(370,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(490,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(430,130)" name="Pin">
<a name="width" val="8"/>
</comp>
<comp lib="0" loc="(430,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(430,130)" name="Splitter">
<a name="facing" val="south"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
</comp>
<comp lib="0" loc="(550,180)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
</circuit>
</project>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment