Skip to content

Instantly share code, notes, and snippets.

@olofk
Created May 17, 2018 18:07
Show Gist options
  • Save olofk/3f4c7fe2eede3f89eb11cff4e5d71b22 to your computer and use it in GitHub Desktop.
Save olofk/3f4c7fe2eede3f89eb11cff4e5d71b22 to your computer and use it in GitHub Desktop.
`default_nettype none
module m(output wire a, input wire b);
assign `A = b;
endmodule
verilog_defines -DA=a
read_verilog define_test.v
synth_ice40 -top m -blif m.blif
yosys -p 'verilog_defines -DA=a; synth_ice40 -top m -blif m.blif' define_test.v
yosys -s define_test.ys
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment