Skip to content

Instantly share code, notes, and snippets.

@paveltyavin
Last active August 29, 2015 14:18
Show Gist options
  • Save paveltyavin/9be522fe941e216ab929 to your computer and use it in GitHub Desktop.
Save paveltyavin/9be522fe941e216ab929 to your computer and use it in GitHub Desktop.
class ShopTest(TestCase):
def setUp(self):
self.network = Network.objects.create()
self.region1 = Region.objects.create()
self.region2 = Region.objects.create()
self.city1 = City.objects.create(region=self.region1)
self.city2 = City.objects.create(region=self.region2)
self.shop1 = Shop.objects.create(
code='0001',
cluster=Cluster.objects.create(),
branch=Branch.objects.create(),
city=self.city1,
network=self.network,
)
self.shop2 = Shop.objects.create(
code='0002',
cluster=Cluster.objects.create(),
branch=Branch.objects.create(),
city=self.city2,
network=self.network,
)
self.bid1 = Bid.objects.create()
self.bid2 = Bid.objects.create()
def test(self):
self.network.flush_bid_list()
self.assertEqual(len(self.network.get_bid_list()), 0)
ReservePromo.objects.create(bid=self.bid1, shop=self.shop1)
self.assertEqual(len(self.network.get_bid_list()), 1)
ReservePromo.objects.create(bid=self.bid2, shop=self.shop2)
self.assertEqual(len(self.network.get_bid_list()), 2)
self.bid1.flush_network_list()
self.bid2.flush_network_list()
self.assertEqual(len(self.bid1.get_network_list()), 1)
self.region1.flush_bid_list()
self.assertEqual(len(self.region1.get_bid_list()), 1)
class ShopTest(TestCase):
def test_network(self):
network = NetworkFactory()
ReservePromoFactory(shop__network=network)
self.assertEqual(len(network.get_bid_list()), 1)
ReservePromoFactory(shop__network=network)
self.assertEqual(len(network.get_bid_list()), 2)
def test_region(self):
region = RegionFactory()
region.flush_bid_list()
ReservePromoFactory(shop__city__region=region)
self.assertEqual(len(region.get_bid_list()), 1)
ReservePromoFactory(shop__city__region=region)
self.assertEqual(len(region.get_bid_list()), 2)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment