Skip to content

Instantly share code, notes, and snippets.

@pdp7
Created February 20, 2020 13:01
Show Gist options
  • Save pdp7/62780ccb9dfc496ef5474af31079dc6f to your computer and use it in GitHub Desktop.
Save pdp7/62780ccb9dfc496ef5474af31079dc6f to your computer and use it in GitHub Desktop.
make-build-hadbadge-greg
pdp7@x1:~/dev/greg/linux-on-litex-vexriscv$ python3 ./make.py --board=hadbadge --build
INFO:SoC: __ _ __ _ __
INFO:SoC: / / (_) /____ | |/_/
INFO:SoC: / /__/ / __/ -_)> <
INFO:SoC: /____/_/\__/\__/_/|_|
INFO:SoC: Build your hardware, easily!
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoC:Creating SoC... (2020-02-20 13:52:21)
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoCBusHandler:Creating Bus Handler...
INFO:SoCBusHandler:32-bit wishbone Bus, 4.0GiB Address Space.
INFO:SoCBusHandler:Adding reserved Bus Regions...
INFO:SoCBusHandler:Bus Handler created.
INFO:SoCCSRHandler:Creating CSR Handler...
INFO:SoCCSRHandler:8-bit CSR Bus, 32-bit Aligned, 16.0KiB Address Space, 2048B Paging (Up to 32 Locations).
INFO:SoCCSRHandler:Adding reserved CSRs...
INFO:SoCCSRHandler:ctrl CSR added at Location 0.
INFO:SoCCSRHandler:uart CSR added at Location 2.
INFO:SoCCSRHandler:timer0 CSR added at Location 3.
INFO:SoCCSRHandler:CSR Handler created.
INFO:SoCIRQHandler:Creating IRQ Handler...
INFO:SoCIRQHandler:IRQ Handler (up to 32 Locations).
INFO:SoCIRQHandler:Adding reserved IRQs...
INFO:SoCIRQHandler:IRQ Handler created.
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoC:Initial SoC:
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoC:32-bit wishbone Bus, 4.0GiB Address Space.
INFO:SoC:8-bit CSR Bus, 32-bit Aligned, 16.0KiB Address Space, 2048B Paging (Up to 32 Locations).
CSR Locations: (3)
- ctrl : 0
- uart : 2
- timer0 : 3
INFO:SoC:IRQ Handler (up to 32 Locations).
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoCCSRHandler:ctrl CSR added at Location 0.
INFO:SoCBusHandler:io0 Region added at Origin: 0x80000000, Size: 0x80000000, Mode: RW, Cached: False Linker: False.
INFO:SoCCSRHandler:Alignment updated from 32-bit to 32-bit.
INFO:SoCBusHandler:cpu_bus0 added as Bus Master.
INFO:SoCBusHandler:cpu_bus1 added as Bus Master.
INFO:SoCCSRHandler:cpu CSR allocated at Location 1.
INFO:SoCIRQHandler:uart IRQ added at Location 0.
INFO:SoCIRQHandler:timer0 IRQ added at Location 1.
INFO:SoCBusHandler:rom Region added at Origin: 0x00000000, Size: 0x00008000, Mode: R, Cached: True Linker: False.
INFO:SoCBusHandler:rom added as Bus Slave.
INFO:SoC:RAM rom added Origin: 0x00000000, Size: 0x00008000, Mode: R, Cached: True Linker: False.
INFO:SoCBusHandler:sram Region added at Origin: 0x10000000, Size: 0x00001000, Mode: RW, Cached: True Linker: False.
INFO:SoCBusHandler:sram added as Bus Slave.
INFO:SoC:RAM sram added Origin: 0x10000000, Size: 0x00001000, Mode: RW, Cached: True Linker: False.
INFO:SoCCSRHandler:uart_phy CSR allocated at Location 4.
INFO:SoCCSRHandler:uart CSR added at Location 2.
INFO:SoCIRQHandler:uart IRQ added at Location 0.
INFO:SoCCSRHandler:timer0 CSR added at Location 3.
INFO:SoCIRQHandler:timer0 IRQ added at Location 1.
INFO:SoCBusHandler:csr Region added at Origin: 0xf0000000, Size: 0x00010000, Mode: RW, Cached: False Linker: False.
INFO:SoCBusHandler:csr added as Bus Slave.
INFO:SoCCSRHandler:bridge added as CSR Master.
INFO:SoCCSRHandler:sdram CSR allocated at Location 5.
INFO:SoCBusHandler:main_ram Region added at Origin: 0xc0000000, Size: 0x02000000, Mode: RW, Cached: True Linker: False.
INFO:SoCBusHandler:main_ram added as Bus Slave.
INFO:SoCBusHandler:emulator_ram Region added at Origin: 0x20000000, Size: 0x00004000, Mode: RW, Cached: True Linker: False.
INFO:SoCBusHandler:emulator_ram added as Bus Slave.
INFO:SoCBusHandler:spiflash Region added at Origin: 0xd0000000, Size: 0x01000000, Mode: RW, Cached: True Linker: False.
INFO:SoCBusHandler:spiflash added as Bus Slave.
INFO:SoCCSRHandler:spiflash CSR allocated at Location 6.
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoC:Finalized SoC:
INFO:SoC:--------------------------------------------------------------------------------
INFO:SoC:32-bit wishbone Bus, 4.0GiB Address Space.
IO Regions: (1)
io0 : Origin: 0x80000000, Size: 0x80000000, Mode: RW, Cached: False Linker: False
Bus Regions: (6)
rom : Origin: 0x00000000, Size: 0x00008000, Mode: R, Cached: True Linker: False
sram : Origin: 0x10000000, Size: 0x00001000, Mode: RW, Cached: True Linker: False
emulator_ram : Origin: 0x20000000, Size: 0x00004000, Mode: RW, Cached: True Linker: False
main_ram : Origin: 0xc0000000, Size: 0x02000000, Mode: RW, Cached: True Linker: False
spiflash : Origin: 0xd0000000, Size: 0x01000000, Mode: RW, Cached: True Linker: False
csr : Origin: 0xf0000000, Size: 0x00010000, Mode: RW, Cached: False Linker: False
Bus Masters: (2)
- cpu_bus0
- cpu_bus1
Bus Slaves: (6)
- rom
- sram
- csr
- main_ram
- emulator_ram
- spiflash
INFO:SoC:8-bit CSR Bus, 32-bit Aligned, 16.0KiB Address Space, 2048B Paging (Up to 32 Locations).
CSR Locations: (7)
- ctrl : 0
- cpu : 1
- uart : 2
- timer0 : 3
- uart_phy : 4
- sdram : 5
- spiflash : 6
INFO:SoC:IRQ Handler (up to 32 Locations).
IRQ Locations: (2)
- uart : 0
- timer0 : 1
INFO:SoC:--------------------------------------------------------------------------------
make: Entering directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/libcompiler_rt'
make: Nothing to be done for 'all'.
make: Leaving directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/libcompiler_rt'
make: Entering directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/libbase'
CC exception.o
CC system.o
CC id.o
CC uart.o
CC time.o
CC spiflash.o
CC mdio.o
AR libbase.a
AR libbase-nofloat.a
make: Leaving directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/libbase'
make: Entering directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/libnet'
CC microudp.o
AR libnet.a
make: Leaving directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/libnet'
make: Entering directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/bios'
CC isr.o
CC sdram.o
/usr/local/lib/python3.7/dist-packages/litex-0.2.dev0-py3.7.egg/litex/soc/software/bios/sdram.c: In function 'sdrrderr':
/usr/local/lib/python3.7/dist-packages/litex-0.2.dev0-py3.7.egg/litex/soc/software/bios/sdram.c:211:49: warning: division by zero [-Wdiv-by-zero]
printf("%2x", DFII_PIX_DATA_BYTES/2 - 1 - (i % (DFII_PIX_DATA_BYTES/2)));
^
CC main.o
CC boot.o
LD bios.elf
chmod -x bios.elf
OBJCOPY bios.bin
chmod -x bios.bin
python3 -m litex.soc.software.mkmscimg bios.bin --little
make: Leaving directory '/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/software/bios'
/usr/local/lib/python3.7/dist-packages/litex-0.2.dev0-py3.7.egg/litex/soc/cores/cpu/vexriscv/verilog/VexRiscv_Linux.v:1121: Warning: System task `$display' outside initial block is unsupported.
Warning: Conflicting init values for signal \main_sdram_trrdcon_ready (\main_sdram_trrdcon_ready = 1'1, \main_sdram_choose_req_want_activates = 1'0).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [8] = 1'0, \main_sdram_master_p0_act_n = 1'1).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [17] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [26] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [35] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [44] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [53] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [62] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [71] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [80] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [89] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [98] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [107] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [116] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [125] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [134] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_sink_payload_data [143] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\main_wdata_converter_converter_source_payload_data [8] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Conflicting init values for signal 1'1 (\soclinux_uart_cdcusbphy_usb_core_response_pid_csrtransform_t_next_value [1] = 1'0, \main_sdram_master_p0_act_n = 1'x).
Warning: Wire top.builder_array_muxed0 has an unprocessed 'init' attribute.
Warning: Wire top.builder_array_muxed1 has an unprocessed 'init' attribute.
Warning: Wire top.builder_array_muxed2 has an unprocessed 'init' attribute.
Warning: Wire top.builder_array_muxed3 has an unprocessed 'init' attribute.
Warning: Wire top.builder_array_muxed4 has an unprocessed 'init' attribute.
Warning: Wire top.builder_array_muxed5 has an unprocessed 'init' attribute.
Warning: Wire top.builder_array_muxed6 has an unprocessed 'init' attribute.
Warning: Wire top.builder_bankmachine0_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_bankmachine1_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_bankmachine2_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_bankmachine3_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_clockdomainsrenamer_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_fsm_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_packetheaderdecode_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_packetheaderdecode_next_value has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_packetheaderdecode_next_value_ce has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_resetinserter_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_rxpipeline_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_rxpipeline_rxbitstuffremover_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_rxpipeline_rxpacketdetect_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_txnrziencoder_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_csrtransform_txpacketsend_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_error has an unprocessed 'init' attribute.
Warning: Wire top.builder_fullmemorywe_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_litedramwishbone2native_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_multiplexer_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_refresher_next_state has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed10 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed12 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed13 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed14 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed15 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed16 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed17 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed18 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed19 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed20 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed21 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed22 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed23 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed24 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed26 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed27 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed28 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed29 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed31 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed6 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed7 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed8 has an unprocessed 'init' attribute.
Warning: Wire top.builder_rhs_array_muxed9 has an unprocessed 'init' attribute.
Warning: Wire top.builder_shared_ack has an unprocessed 'init' attribute.
Warning: Wire top.builder_slave_sel has an unprocessed 'init' attribute.
Warning: Wire top.builder_t_array_muxed3 has an unprocessed 'init' attribute.
Warning: Wire top.builder_t_array_muxed4 has an unprocessed 'init' attribute.
Warning: Wire top.builder_t_array_muxed5 has an unprocessed 'init' attribute.
Warning: Wire top.builder_wb2csr_next_state has an unprocessed 'init' attribute.
Warning: Wire top.main_count_next_value has an unprocessed 'init' attribute.
Warning: Wire top.main_count_next_value_ce has an unprocessed 'init' attribute.
Warning: Wire top.main_cpu_interrupt0 has an unprocessed 'init' attribute.
Warning: Wire top.main_data_port_dat_w has an unprocessed 'init' attribute.
Warning: Wire top.main_data_port_we has an unprocessed 'init' attribute.
Warning: Wire top.main_interface_ack has an unprocessed 'init' attribute.
Warning: Wire top.main_interface_adr0 has an unprocessed 'init' attribute.
Warning: Wire top.main_interface_cyc has an unprocessed 'init' attribute.
Warning: Wire top.main_interface_stb has an unprocessed 'init' attribute.
Warning: Wire top.main_interface_we0 has an unprocessed 'init' attribute.
Warning: Wire top.main_interface_we1 has an unprocessed 'init' attribute.
Warning: Wire top.main_port_cmd_payload_addr has an unprocessed 'init' attribute.
Warning: Wire top.main_port_cmd_payload_we has an unprocessed 'init' attribute.
Warning: Wire top.main_port_cmd_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_ram_we has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_auto_precharge has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_a has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_cas has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_is_cmd has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_is_read has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_is_write has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_ras has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_payload_we has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_cmd_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_refresh_gnt has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_req_rdata_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_req_wdata_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_row_close has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_row_col_n_addr_sel has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine0_row_open has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_auto_precharge has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_a has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_cas has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_is_cmd has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_is_read has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_is_write has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_ras has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_payload_we has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_cmd_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_refresh_gnt has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_req_rdata_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_req_wdata_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_row_close has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_row_col_n_addr_sel has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine1_row_open has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_auto_precharge has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_a has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_cas has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_is_cmd has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_is_read has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_is_write has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_ras has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_payload_we has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_cmd_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_refresh_gnt has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_req_rdata_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_req_wdata_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_row_close has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_row_col_n_addr_sel has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine2_row_open has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_auto_precharge has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_a has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_cas has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_is_cmd has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_is_read has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_is_write has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_ras has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_payload_we has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_cmd_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_refresh_gnt has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_req_rdata_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_req_wdata_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_row_close has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_row_col_n_addr_sel has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_bankmachine3_row_open has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_cmd_payload_cas has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_cmd_payload_ras has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_cmd_payload_we has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_cmd_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_valids has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_want_activates has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_want_reads has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_choose_req_want_writes has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_cmd_last has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_cmd_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_cmd_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_en0 has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_en1 has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_interface_wdata has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_inti_p0_cas_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_inti_p0_cs_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_inti_p0_ras_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_inti_p0_rddata has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_inti_p0_rddata_valid has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_inti_p0_we_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_act_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_address has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_bank has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_cas_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_cke has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_cs_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_ras_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_rddata_en has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_we_n has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_wrdata has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_wrdata_en has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_master_p0_wrdata_mask has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_sequencer_start0 has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_slave_p0_rddata has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_steerer_sel has an unprocessed 'init' attribute.
Warning: Wire top.main_sdram_trrdcon_ready has an unprocessed 'init' attribute.
Warning: Wire top.main_tag_di_dirty has an unprocessed 'init' attribute.
Warning: Wire top.main_tag_port_we has an unprocessed 'init' attribute.
Warning: Wire top.main_timer_zero_clear has an unprocessed 'init' attribute.
Warning: Wire top.main_uart_rx_clear has an unprocessed 'init' attribute.
Warning: Wire top.main_uart_rx_fifo_produce has an unprocessed 'init' attribute.
Warning: Wire top.main_uart_tx_clear has an unprocessed 'init' attribute.
Warning: Wire top.main_wb_sdram_ack has an unprocessed 'init' attribute.
Warning: Wire top.main_wb_sdram_dat_r has an unprocessed 'init' attribute.
Warning: Wire top.main_wdata_converter_converter_sink_payload_data has an unprocessed 'init' attribute.
Warning: Wire top.main_wdata_converter_converter_source_payload_data has an unprocessed 'init' attribute.
Warning: Wire top.main_we has an unprocessed 'init' attribute.
Warning: Wire top.main_write_from_slave has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_spiflash_o has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_spiflash_oe has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_spiflash_spiflashdualquad_clk has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_spiflash_status has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_asyncfifo0_graycounter0_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_asyncfifo0_graycounter1_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_asyncfifo1_graycounter2_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_asyncfifo1_graycounter3_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_bytes_addr_fsm_f_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_bytes_addr_fsm_f_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_bytes_remaining_fsm_f_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_bytes_remaining_fsm_f_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_in_ctrl_epno0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_in_ctrl_re has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_in_data_data0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_in_data_re has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_out_ctrl_enable0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_out_ctrl_epno0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_csrtransform_out_ctrl_re has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_data_d1_fsm_t_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_data_d1_fsm_t_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_data_d2_fsm_t_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_data_d2_fsm_t_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_delayed_re_fsm_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_delayed_re_fsm_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_dtr_fsm_t_next_value6 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_dtr_fsm_t_next_value_ce6 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_csrfield_reset has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_csrfield_stall has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_data_out_advance has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_dtb_reset has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_eventmanager_pending_r has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_eventmanager_pending_re has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_packet_clear has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_inhandler_status_status has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_new_address_fsm_t_next_value4 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_new_address_fsm_t_next_value_ce4 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_csrfield_reset has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_data_recv_payload has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_data_recv_put has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_data_we has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_ep_mask has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_eventmanager_pending_r has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_eventmanager_pending_re has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_outhandler_packet_clear has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_re_d1_fsm_t_next_value2 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_re_d1_fsm_t_next_value_ce2 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_re_d2_fsm_t_next_value3 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_re_d2_fsm_t_next_value_ce3 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_response_addr has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_response_len has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setup_index_fsm_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setup_index_fsm_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_begin has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_csrfield_reset has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_data_recv_payload has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_data_recv_put has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_data_we has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_eventmanager_status_w has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_packet_clear has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_reset_clear has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_setuphandlerinner_syncfifo_din has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_setuphandlerinner_syncfifo_we has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_setuphandler_status_status has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_sink_payload_data has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_sink_valid has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_source_ready has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usbPacket_fsm_cases_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usbPacket_fsm_cases_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_abort has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_arm has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_commit has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_data_recv_put has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_data_send_get has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_data_send_have has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_data_send_payload has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_endp4_csrtransform_packetheaderdecode_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_endp4_csrtransform_packetheaderdecode_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_endp_csrtransform_f_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_endp_csrtransform_f_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_error has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_o_decoded has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_o_endp_csrtransform_packetheaderdecode_next_value2 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_o_endp_csrtransform_packetheaderdecode_next_value_ce2 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_o_pid_csrtransform_packetheaderdecode_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_o_pid_csrtransform_packetheaderdecode_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_poll has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_response_pid_csrtransform_t_next_value has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_response_pid_csrtransform_t_next_value_ce has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_bitstuff_drop_bit has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_detect_pkt_active has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_detect_pkt_end has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_detect_pkt_start has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_asyncfifo_din has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter1_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_line_state_dj0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_line_state_dk0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_line_state_se00 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_payloadFifo_graycounter0_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_rx_payloadFifo_graycounter1_q_next_binary has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_setup has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_sta has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_start has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tok_csrtransform_f_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tok_csrtransform_f_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_bitstuff_o_will_stall has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_bitstuff_stuff_bit has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_i_data_payload has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_i_oe_csrtransform_txpacketsend_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_nrzi_oe0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_nrzi_usbn has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_state_gray_csrtransform_txpipeline_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_state_gray_csrtransform_txpipeline_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_sync_pulse_csrtransform_txpipeline_next_value0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_tx_sync_pulse_csrtransform_txpipeline_next_value_ce0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_crc_next has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_i_data_strobe has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_i_pid has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_i_pkt_start has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_is_ongoing0 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_is_ongoing1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_o_data_ack has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_o_pkt_end has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_pid_csrtransform_txpacketsend_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_usb_core_txstate_pid_csrtransform_txpacketsend_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_wLength_fsm_cases_next_value1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_cdcusbphy_wLength_fsm_cases_next_value_ce1 has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_eventmanager_status_w has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_rx_clear has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_uart_tx_clear has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_usb_iobuf_usb_n_rx has an unprocessed 'init' attribute.
Warning: Wire top.soclinux_usb_iobuf_usb_p_rx has an unprocessed 'init' attribute.
Info: Importing module top
Info: Rule checker, verifying imported design
Info: Checksum: 0xc6e4a169
Info: constraining clock net 'clk8' to 8.00 MHz
Info: constraining clock net 'clk8' to 8.00 MHz
Info: Packing IOs..
Info: pin 'serial_rx$tr_io' constrained to Bel 'X13/Y71/PIOB'.
Info: pin 'serial_tx$tr_io' constrained to Bel 'X6/Y71/PIOA'.
Info: pin 'clk8$tr_io' constrained to Bel 'X90/Y68/PIOA'.
Info: pin 'sdram_clock$tr_io' constrained to Bel 'X42/Y0/PIOA'.
Info: pin 'sdram_a[0]$tr_io' constrained to Bel 'X18/Y0/PIOB'.
Info: pin 'sdram_a[1]$tr_io' constrained to Bel 'X20/Y0/PIOA'.
Info: pin 'sdram_a[2]$tr_io' constrained to Bel 'X27/Y0/PIOA'.
Info: pin 'sdram_a[3]$tr_io' constrained to Bel 'X31/Y0/PIOA'.
Info: pin 'sdram_a[4]$tr_io' constrained to Bel 'X56/Y0/PIOB'.
Info: pin 'sdram_a[5]$tr_io' constrained to Bel 'X90/Y14/PIOB'.
Info: pin 'sdram_a[6]$tr_io' constrained to Bel 'X49/Y0/PIOA'.
Info: pin 'sdram_a[7]$tr_io' constrained to Bel 'X44/Y0/PIOA'.
Info: pin 'sdram_a[8]$tr_io' constrained to Bel 'X90/Y20/PIOB'.
Info: pin 'sdram_a[9]$tr_io' constrained to Bel 'X90/Y17/PIOB'.
Info: pin 'sdram_a[10]$tr_io' constrained to Bel 'X15/Y0/PIOB'.
Info: pin 'sdram_a[11]$tr_io' constrained to Bel 'X36/Y0/PIOB'.
Info: pin 'sdram_a[12]$tr_io' constrained to Bel 'X38/Y0/PIOA'.
Info: sdram_dq[0] feeds TRELLIS_IO TRELLIS_IO, removing $nextpnr_ibuf sdram_dq[0].
Info: pin 'TRELLIS_IO' constrained to Bel 'X0/Y11/PIOD'.
Info: sdram_dq[1] feeds TRELLIS_IO TRELLIS_IO_1, removing $nextpnr_ibuf sdram_dq[1].
Info: pin 'TRELLIS_IO_1' constrained to Bel 'X0/Y11/PIOC'.
Info: sdram_dq[2] feeds TRELLIS_IO TRELLIS_IO_2, removing $nextpnr_ibuf sdram_dq[2].
Info: pin 'TRELLIS_IO_2' constrained to Bel 'X0/Y11/PIOB'.
Info: sdram_dq[3] feeds TRELLIS_IO TRELLIS_IO_3, removing $nextpnr_ibuf sdram_dq[3].
Info: pin 'TRELLIS_IO_3' constrained to Bel 'X11/Y0/PIOA'.
Info: sdram_dq[4] feeds TRELLIS_IO TRELLIS_IO_4, removing $nextpnr_ibuf sdram_dq[4].
Info: pin 'TRELLIS_IO_4' constrained to Bel 'X33/Y0/PIOB'.
Info: sdram_dq[5] feeds TRELLIS_IO TRELLIS_IO_5, removing $nextpnr_ibuf sdram_dq[5].
Info: pin 'TRELLIS_IO_5' constrained to Bel 'X31/Y0/PIOB'.
Info: sdram_dq[6] feeds TRELLIS_IO TRELLIS_IO_6, removing $nextpnr_ibuf sdram_dq[6].
Info: pin 'TRELLIS_IO_6' constrained to Bel 'X29/Y0/PIOA'.
Info: sdram_dq[7] feeds TRELLIS_IO TRELLIS_IO_7, removing $nextpnr_ibuf sdram_dq[7].
Info: pin 'TRELLIS_IO_7' constrained to Bel 'X33/Y0/PIOA'.
Info: pin 'sdram_we_n$tr_io' constrained to Bel 'X4/Y0/PIOB'.
Info: pin 'sdram_ras_n$tr_io' constrained to Bel 'X6/Y0/PIOB'.
Info: pin 'sdram_cas_n$tr_io' constrained to Bel 'X4/Y0/PIOA'.
Info: pin 'sdram_cs_n$tr_io' constrained to Bel 'X11/Y0/PIOB'.
Info: pin 'sdram_cke$tr_io' constrained to Bel 'X38/Y0/PIOB'.
Info: pin 'sdram_ba[0]$tr_io' constrained to Bel 'X18/Y0/PIOA'.
Info: pin 'sdram_ba[1]$tr_io' constrained to Bel 'X15/Y0/PIOA'.
Info: pin 'sdram_dm$tr_io' constrained to Bel 'X36/Y0/PIOA'.
Info: pin 'spiflash4x_cs_n$tr_io' constrained to Bel 'X15/Y71/PIOA'.
Info: spiflash4x_dq[0] feeds TRELLIS_IO TRELLIS_IO_8, removing $nextpnr_ibuf spiflash4x_dq[0].
Info: pin 'TRELLIS_IO_8' constrained to Bel 'X11/Y71/PIOB'.
Info: spiflash4x_dq[1] feeds TRELLIS_IO TRELLIS_IO_9, removing $nextpnr_ibuf spiflash4x_dq[1].
Info: pin 'TRELLIS_IO_9' constrained to Bel 'X11/Y71/PIOA'.
Info: spiflash4x_dq[2] feeds TRELLIS_IO TRELLIS_IO_10, removing $nextpnr_ibuf spiflash4x_dq[2].
Info: pin 'TRELLIS_IO_10' constrained to Bel 'X9/Y71/PIOB'.
Info: spiflash4x_dq[3] feeds TRELLIS_IO TRELLIS_IO_11, removing $nextpnr_ibuf spiflash4x_dq[3].
Info: pin 'TRELLIS_IO_11' constrained to Bel 'X9/Y71/PIOA'.
Info: usb_d_p feeds TRELLIS_IO TRELLIS_IO_12, removing $nextpnr_ibuf usb_d_p.
Info: pin 'TRELLIS_IO_12' constrained to Bel 'X0/Y32/PIOB'.
Info: usb_d_n feeds TRELLIS_IO TRELLIS_IO_13, removing $nextpnr_ibuf usb_d_n.
Info: pin 'TRELLIS_IO_13' constrained to Bel 'X0/Y32/PIOA'.
Info: pin 'usb_pullup$tr_io' constrained to Bel 'X0/Y17/PIOA'.
Info: pin 'usb_vbusdet$tr_io' constrained to Bel 'X0/Y20/PIOA'.
Info: Packing constants..
Info: Packing carries...
Info: Finding LUTFF pairs...
Info: Packing LUT5-7s...
Info: Finding LUT-LUT pairs...
Info: Packing paired LUTs into a SLICE...
Info: Packing unpaired LUTs into a SLICE...
Info: Packing unpaired FFs into a SLICE...
Info: Generating derived timing constraints...
Info: Input frequency of PLL 'EHXPLLL' is constrained to 8.0 MHz
Info: Derived frequency constraint of 48.0 MHz for net main_clkout0
Info: Derived frequency constraint of 48.0 MHz for net sdram_clock
Info: Input frequency of PLL 'EHXPLLL_1' is constrained to 48.0 MHz
Info: Derived frequency constraint of 48.0 MHz for net soclinux_clkout0
Info: Derived frequency constraint of 12.0 MHz for net soclinux_clkout1
Info: Input frequency of PLL 'EHXPLLL_1' is constrained to 48.0 MHz
Info: Promoting globals...
Info: promoting clock net main_clkout0 to global network
Info: promoting clock net soclinux_clkout1 to global network
Info: promoting clock net soclinux_clkout0 to global network
Info: promoting clock net sdram_clock to global network
Info: Checksum: 0xb5f6d1b5
Info: Annotating ports with timing budgets for target frequency 12.00 MHz
Info: Checksum: 0x0e70941e
Info: Device utilisation:
Info: TRELLIS_SLICE: 7127/21924 32%
Info: TRELLIS_IO: 42/ 244 17%
Info: DCCA: 4/ 56 7%
Info: DP16KD: 51/ 108 47%
Info: MULT18X18D: 4/ 72 5%
Info: ALU54B: 0/ 36 0%
Info: EHXPLLL: 2/ 4 50%
Info: EXTREFB: 0/ 2 0%
Info: DCUA: 0/ 2 0%
Info: PCSCLKDIV: 0/ 2 0%
Info: IOLOGIC: 0/ 160 0%
Info: SIOLOGIC: 0/ 84 0%
Info: GSR: 0/ 1 0%
Info: JTAGG: 0/ 1 0%
Info: OSCG: 0/ 1 0%
Info: SEDGA: 0/ 1 0%
Info: DTR: 0/ 1 0%
Info: USRMCLK: 1/ 1 100%
Info: CLKDIVF: 0/ 4 0%
Info: ECLKSYNCB: 0/ 10 0%
Info: DLLDELD: 0/ 8 0%
Info: DDRDLL: 0/ 4 0%
Info: DQSBUFM: 0/ 10 0%
Info: TRELLIS_ECLKBUF: 0/ 8 0%
Info: ECLKBRIDGECS: 0/ 2 0%
Info: Placed 44 cells based on constraints.
Info: Creating initial analytic placement for 6306 cells, random placement wirelen = 663315.
Info: at initial placer iter 0, wirelen = 6202
Info: at initial placer iter 1, wirelen = 6221
Info: at initial placer iter 2, wirelen = 5748
Info: at initial placer iter 3, wirelen = 5813
Info: Running main analytical placer.
Info: at iteration #1, type ALL: wirelen solved = 5776, spread = 148662, legal = 148573; time = 0.27s
Info: at iteration #2, type ALL: wirelen solved = 9326, spread = 107513, legal = 111473; time = 0.31s
Info: at iteration #3, type ALL: wirelen solved = 15382, spread = 101507, legal = 104983; time = 0.29s
Info: at iteration #4, type ALL: wirelen solved = 20311, spread = 90385, legal = 99086; time = 0.28s
Info: at iteration #5, type ALL: wirelen solved = 26200, spread = 87913, legal = 95220; time = 0.31s
Info: at iteration #6, type ALL: wirelen solved = 30150, spread = 85746, legal = 91782; time = 0.30s
Info: at iteration #7, type ALL: wirelen solved = 33600, spread = 83073, legal = 91119; time = 0.30s
Info: at iteration #8, type ALL: wirelen solved = 36915, spread = 80696, legal = 88131; time = 0.32s
Info: at iteration #9, type ALL: wirelen solved = 38247, spread = 80371, legal = 88792; time = 0.29s
Info: at iteration #10, type ALL: wirelen solved = 41544, spread = 78895, legal = 86201; time = 0.29s
Info: at iteration #11, type ALL: wirelen solved = 43614, spread = 77604, legal = 85665; time = 0.30s
Info: at iteration #12, type ALL: wirelen solved = 44899, spread = 75568, legal = 84376; time = 0.28s
Info: at iteration #13, type ALL: wirelen solved = 45261, spread = 75910, legal = 85254; time = 0.28s
Info: at iteration #14, type ALL: wirelen solved = 46539, spread = 75128, legal = 82734; time = 0.28s
Info: at iteration #15, type ALL: wirelen solved = 47082, spread = 74606, legal = 83773; time = 0.29s
Info: at iteration #16, type ALL: wirelen solved = 47732, spread = 74373, legal = 82871; time = 0.27s
Info: at iteration #17, type ALL: wirelen solved = 48139, spread = 74699, legal = 83743; time = 0.28s
Info: at iteration #18, type ALL: wirelen solved = 48763, spread = 74713, legal = 83079; time = 0.28s
Info: at iteration #19, type ALL: wirelen solved = 49572, spread = 74322, legal = 83687; time = 0.28s
Info: HeAP Placer Time: 12.00s
Info: of which solving equations: 4.79s
Info: of which spreading cells: 0.75s
Info: of which strict legalisation: 0.32s
Info: Running simulated annealing placer for refinement.
Info: at iteration #1: temp = 0.000000, timing cost = 1780, wirelen = 82734
Info: at iteration #5: temp = 0.000000, timing cost = 583, wirelen = 75076
Info: at iteration #10: temp = 0.000000, timing cost = 623, wirelen = 72286
Info: at iteration #15: temp = 0.000000, timing cost = 538, wirelen = 71309
Info: at iteration #18: temp = 0.000000, timing cost = 532, wirelen = 71111
Info: SA placement time 27.80s
Info: Max frequency for clock '$glbnet$main_clkout0': 48.04 MHz (PASS at 48.00 MHz)
Info: Max frequency for clock '$glbnet$soclinux_clkout1': 80.71 MHz (PASS at 12.00 MHz)
Info: Max frequency for clock '$glbnet$soclinux_clkout0': 270.34 MHz (PASS at 48.00 MHz)
Info: Max delay <async> -> posedge $glbnet$main_clkout0 : 7.17 ns
Info: Max delay posedge $glbnet$main_clkout0 -> <async> : 9.39 ns
Info: Max delay posedge $glbnet$soclinux_clkout0 -> <async> : 3.46 ns
Info: Max delay posedge $glbnet$soclinux_clkout0 -> posedge $glbnet$soclinux_clkout1: 3.34 ns
Info: Max delay posedge $glbnet$soclinux_clkout1 -> <async> : 1.76 ns
Info: Max delay posedge $glbnet$soclinux_clkout1 -> posedge $glbnet$soclinux_clkout0: 2.74 ns
Info: Slack histogram:
Info: legend: * represents 45 endpoint(s)
Info: + represents [1,45) endpoint(s)
Info: [ 18, 4127) |***+
Info: [ 4127, 8236) |**************************+
Info: [ 8236, 12345) |******************************************************+
Info: [ 12345, 16454) |************************************************************
Info: [ 16454, 20563) |*************************+
Info: [ 20563, 24672) |
Info: [ 24672, 28781) |
Info: [ 28781, 32890) |
Info: [ 32890, 36999) |
Info: [ 36999, 41108) |
Info: [ 41108, 45217) |
Info: [ 45217, 49326) |
Info: [ 49326, 53435) |
Info: [ 53435, 57544) |
Info: [ 57544, 61653) |
Info: [ 61653, 65762) |
Info: [ 65762, 69871) |
Info: [ 69871, 73980) |*+
Info: [ 73980, 78089) |********+
Info: [ 78089, 82198) |**************+
Info: Checksum: 0x0a430183
Info: Routing globals...
Info: routing clock net $glbnet$main_clkout0 using global 0
Info: routing clock net $glbnet$soclinux_clkout1 using global 1
Info: routing clock net $glbnet$soclinux_clkout0 using global 2
Info: routing clock net $glbnet$sdram_clock using global 3
Info: Routing..
Info: Setting up routing queue.
Info: Routing 45481 arcs.
Info: | (re-)routed arcs | delta | remaining
Info: IterCnt | w/ripup wo/ripup | w/r wo/r | arcs
Info: 1000 | 4 995 | 4 995 | 44488
Info: 2000 | 4 1995 | 0 1000 | 43488
Info: 3000 | 5 2994 | 1 999 | 42490
Info: 4000 | 5 3994 | 0 1000 | 41490
Info: 5000 | 8 4991 | 3 997 | 40493
Info: 6000 | 9 5990 | 1 999 | 39494
Info: 7000 | 13 6986 | 4 996 | 38499
Info: 8000 | 14 7985 | 1 999 | 37503
Info: 9000 | 16 8983 | 2 998 | 36505
Info: 10000 | 18 9981 | 2 998 | 35508
Info: 11000 | 21 10978 | 3 997 | 34512
Info: 12000 | 25 11974 | 4 996 | 33516
Info: 13000 | 31 12968 | 6 994 | 32524
Info: 14000 | 36 13963 | 5 995 | 31532
Info: 15000 | 40 14959 | 4 996 | 30539
Info: 16000 | 47 15952 | 7 993 | 29551
Info: 17000 | 59 16940 | 12 988 | 28570
Info: 18000 | 77 17922 | 18 982 | 27601
Info: 19000 | 98 18901 | 21 979 | 26631
Info: 20000 | 125 19874 | 27 973 | 25694
Info: 21000 | 153 20846 | 28 972 | 24755
Info: 22000 | 207 21792 | 54 946 | 23861
Info: 23000 | 247 22752 | 40 960 | 22938
Info: 24000 | 291 23708 | 44 956 | 22022
Info: 25000 | 340 24659 | 49 951 | 21094
Info: 26000 | 423 25576 | 83 917 | 20215
Info: 27000 | 454 26545 | 31 969 | 19265
Info: 28000 | 503 27496 | 49 951 | 18366
Info: 29000 | 550 28449 | 47 953 | 17475
Info: 30000 | 608 29391 | 58 942 | 16608
Info: 31000 | 677 30322 | 69 931 | 15791
Info: 32000 | 729 31270 | 52 948 | 14941
Info: 33000 | 773 32226 | 44 956 | 14076
Info: 34000 | 870 33129 | 97 903 | 13286
Info: 35000 | 977 34022 | 107 893 | 12512
Info: 36000 | 1098 34901 | 121 879 | 11735
Info: 37000 | 1164 35835 | 66 934 | 10856
Info: 38000 | 1272 36727 | 108 892 | 10040
Info: 39000 | 1384 37615 | 112 888 | 9265
Info: 40000 | 1469 38530 | 85 915 | 8419
Info: 41000 | 1558 39441 | 89 911 | 7615
Info: 42000 | 1712 40287 | 154 846 | 6944
Info: 43000 | 1740 41259 | 28 972 | 5999
Info: 44000 | 1833 42166 | 93 907 | 5214
Info: 45000 | 1854 43145 | 21 979 | 4246
Info: 46000 | 1977 44022 | 123 877 | 3536
Info: 47000 | 2158 44841 | 181 819 | 3101
Info: 48000 | 2419 45580 | 261 739 | 2711
Info: 49000 | 2654 46345 | 235 765 | 2254
Info: 50000 | 2876 47123 | 222 778 | 1723
Info: 51000 | 3056 47943 | 180 820 | 1094
Info: 52000 | 3070 48929 | 14 986 | 109
Info: 52109 | 3071 49038 | 1 109 | 0
Info: Routing complete.
Info: Route time 41.10s
Info: Checksum: 0xea3a1019
Info: Critical path report for clock '$glbnet$main_clkout0' (posedge -> posedge):
Info: curr total
Info: 4.1 4.1 Source tag_mem.0.0.0.DOA3
Info: 1.9 6.0 Net $abc$113069$auto$memory_bram.cc:926:replace_cell$16922[3] budget 3.090000 ns (42,34) -> (38,18)
Info: Sink $abc$113069$__10527__$lut_SLICE.D0
Info: 0.2 6.2 Source $abc$113069$__10527__$lut_SLICE.F0
Info: 0.5 6.7 Net $abc$113069$__10527__ budget 1.545000 ns (38,18) -> (38,18)
Info: Sink $abc$113069$__10490__$lut_SLICE.C1
Info: 0.2 6.9 Source $abc$113069$__10490__$lut_SLICE.F1
Info: 1.1 8.0 Net $abc$113069$__10536__ budget 1.544000 ns (38,18) -> (39,17)
Info: Sink $abc$113069$__10578__$lut_SLICE.C0
Info: 0.2 8.2 Source $abc$113069$__10578__$lut_SLICE.F0
Info: 0.5 8.7 Net $abc$113069$__10578__ budget 1.235000 ns (39,17) -> (39,17)
Info: Sink $abc$113069$__10578__$lut_SLICE.C1
Info: 0.2 8.8 Source $abc$113069$__10578__$lut_SLICE.F1
Info: 2.3 11.2 Net $abc$113069$__10670__ budget 1.235000 ns (39,17) -> (17,28)
Info: Sink $abc$113069$__17230__$lut_SLICE.D0
Info: 0.2 11.4 Source $abc$113069$__17230__$lut_SLICE.F0
Info: 1.8 13.1 Net $abc$113069$__17230__ budget 1.235000 ns (17,28) -> (34,18)
Info: Sink $abc$113069$auto$dff2dffe.cc:158:make_patterns_logic$79771$lut_SLICE.D0
Info: 0.2 13.3 Source $abc$113069$auto$dff2dffe.cc:158:make_patterns_logic$79771$lut_SLICE.F0
Info: 0.6 13.9 Net $abc$113069$auto$dff2dffe.cc:158:make_patterns_logic$79771 budget 1.235000 ns (34,18) -> (34,14)
Info: Sink $abc$113069$techmap\VexRiscv.$procmux$11298_Y[2]$lut_SLICE.D1
Info: 0.2 14.1 Source $abc$113069$techmap\VexRiscv.$procmux$11298_Y[2]$lut_SLICE.F1
Info: 0.8 14.9 Net VexRiscv.dataCache_1__io_mem_cmd_s2mPipe_ready budget 1.235000 ns (34,14) -> (31,17)
Info: Sink $abc$113069$VexRiscv._zz_324_$lut_SLICE.D0
Info: 0.2 15.1 Source $abc$113069$VexRiscv._zz_324_$lut_SLICE.F0
Info: 1.0 16.1 Net VexRiscv._zz_324_ budget 1.364000 ns (31,17) -> (37,17)
Info: Sink $auto$simplemap.cc:420:simplemap_dff$38020_SLICE.CE
Info: 0.0 16.1 Setup $auto$simplemap.cc:420:simplemap_dff$38020_SLICE.CE
Info: 5.5 ns logic, 10.5 ns routing
Info: Critical path report for clock '$glbnet$soclinux_clkout1' (posedge -> posedge):
Info: curr total
Info: 0.4 0.4 Source $abc$113069$soclinux_uart_cdcusbphy_usbPacket_fsm_cases_next_value0[17]$lut_SLICE.Q1
Info: 0.7 1.1 Net soclinux_uart_cdcusbphy_usbPacket[25] budget 0.000000 ns (9,30) -> (9,29)
Info: Sink $abc$113069$__6947__$lut_SLICE.D0
Info: 0.2 1.3 Source $abc$113069$__6947__$lut_SLICE.F0
Info: 0.4 1.6 Net $abc$113069$__6947__ budget 0.000000 ns (9,29) -> (9,29)
Info: Sink $abc$113069$__6947__$lut_SLICE.D1
Info: 0.2 1.8 Source $abc$113069$__6947__$lut_SLICE.F1
Info: 0.5 2.3 Net $abc$113069$__6950__ budget 0.000000 ns (9,29) -> (8,28)
Info: Sink $abc$113069$__6952__$lut_SLICE.D0
Info: 0.2 2.5 Source $abc$113069$__6952__$lut_SLICE.F0
Info: 0.3 2.8 Net $abc$113069$__6952__ budget 0.000000 ns (8,28) -> (8,28)
Info: Sink $abc$113069$__6952__$lut_SLICE.D1
Info: 0.2 3.0 Source $abc$113069$__6952__$lut_SLICE.F1
Info: 0.8 3.8 Net $abc$113069$__6960__ budget 0.000000 ns (8,28) -> (7,28)
Info: Sink $abc$113069$__6964__$lut_SLICE.D1
Info: 0.2 4.0 Source $abc$113069$__6964__$lut_SLICE.F1
Info: 0.4 4.3 Net $abc$113069$__6992__ budget 0.000000 ns (7,28) -> (8,26)
Info: Sink $abc$113069$__7042__$lut_SLICE.D1
Info: 0.2 4.5 Source $abc$113069$__7042__$lut_SLICE.F1
Info: 0.7 5.3 Net $abc$113069$__7043__ budget 0.000000 ns (8,26) -> (8,29)
Info: Sink $abc$113069$__7044__$lut_SLICE.D0
Info: 0.2 5.4 Source $abc$113069$__7044__$lut_SLICE.F0
Info: 0.7 6.2 Net $abc$113069$__7044__ budget 0.000000 ns (8,29) -> (8,26)
Info: Sink $auto$abc9.cc:774:abc9_module$349806.mux5_SLICE.M0
Info: 0.2 6.4 Source $auto$abc9.cc:774:abc9_module$349806.mux5_SLICE.OFX0
Info: 0.9 7.3 Net $abc$113069$auto$alumacc.cc:485:replace_alu$16480.slice[2].ccu2c_i.A1 budget 0.000000 ns (8,26) -> (5,28)
Info: Sink $auto$alumacc.cc:485:replace_alu$16480.slice[2].ccu2c_i$CCU2_SLICE.A1
Info: 0.4 7.6 Source $auto$alumacc.cc:485:replace_alu$16480.slice[2].ccu2c_i$CCU2_SLICE.FCO
Info: 0.0 7.6 Net $abc$113069$auto$alumacc.cc:485:replace_alu$16480.slice[2].ccu2c_i.COUT budget 0.000000 ns (5,28) -> (5,28)
Info: Sink $auto$alumacc.cc:485:replace_alu$16480.slice[4].ccu2c_i$CCU2_SLICE.FCI
Info: 0.1 7.7 Source $auto$alumacc.cc:485:replace_alu$16480.slice[4].ccu2c_i$CCU2_SLICE.FCO
Info: 0.0 7.7 Net $abc$113069$auto$alumacc.cc:485:replace_alu$16480.slice[4].ccu2c_i.COUT budget 0.000000 ns (5,28) -> (6,28)
Info: Sink $auto$alumacc.cc:485:replace_alu$16480.slice[6].ccu2c_i$CCU2_SLICE.FCI
Info: 0.1 7.7 Source $auto$alumacc.cc:485:replace_alu$16480.slice[6].ccu2c_i$CCU2_SLICE.FCO
Info: 0.0 7.7 Net $nextpnr_CCU2C_3$CIN budget 0.000000 ns (6,28) -> (6,28)
Info: Sink $nextpnr_CCU2C_3$CCU2_SLICE.FCI
Info: 0.3 8.0 Source $nextpnr_CCU2C_3$CCU2_SLICE.F0
Info: 0.7 8.8 Net $abc$113069$auto$alumacc.cc:485:replace_alu$16480.slice[6].ccu2c_i.COUT budget 53.424000 ns (6,28) -> (4,27)
Info: Sink $auto$abc9.cc:774:abc9_module$349292.mux53_SLICE.M0
Info: 0.2 9.0 Source $auto$abc9.cc:774:abc9_module$349292.mux53_SLICE.OFX0
Info: 0.0 9.0 Net $auto$abc9.cc:774:abc9_module$349292.g3 budget 0.000000 ns (4,27) -> (4,27)
Info: Sink $auto$abc9.cc:774:abc9_module$349292.mux53_SLICE.FXB
Info: 0.2 9.1 Source $auto$abc9.cc:774:abc9_module$349292.mux53_SLICE.OFX1
Info: 0.0 9.1 Net $auto$abc9.cc:774:abc9_module$349292.h1 budget 0.000000 ns (4,27) -> (4,27)
Info: Sink $auto$abc9.cc:774:abc9_module$349292.mux52_SLICE.FXB
Info: 0.2 9.3 Source $auto$abc9.cc:774:abc9_module$349292.mux52_SLICE.OFX1
Info: 0.1 9.4 Net soclinux_uart_cdcusbphy_bytes_remaining_fsm_f_next_value0[3] budget 26.712000 ns (4,27) -> (4,27)
Info: Sink $auto$abc9.cc:774:abc9_module$349292.mux52_SLICE.DI1
Info: 0.0 9.4 Setup $auto$abc9.cc:774:abc9_module$349292.mux52_SLICE.DI1
Info: 3.2 ns logic, 6.2 ns routing
Info: Critical path report for clock '$glbnet$soclinux_clkout0' (posedge -> posedge):
Info: curr total
Info: 0.4 0.4 Source $abc$113069$__13154__$lut_SLICE.Q1
Info: 0.7 1.1 Net builder_csrtransform_rxpipeline_rxpacketdetect_state[1] budget 3.944000 ns (2,42) -> (2,42)
Info: Sink $abc$113069$__11836__$lut_SLICE.A0
Info: 0.2 1.3 Source $abc$113069$__11836__$lut_SLICE.F0
Info: 0.2 1.5 Net $abc$113069$__11836__ budget 3.944000 ns (2,42) -> (2,42)
Info: Sink $abc$113069$__11836__$lut_SLICE.D1
Info: 0.2 1.6 Source $abc$113069$__11836__$lut_SLICE.F1
Info: 0.7 2.4 Net soclinux_uart_cdcusbphy_usb_core_rx_detect_o_pkt_start budget 3.944000 ns (2,42) -> (4,43)
Info: Sink $abc$113069$__11885__$lut_SLICE.C1
Info: 0.2 2.5 Source $abc$113069$__11885__$lut_SLICE.F1
Info: 0.4 2.9 Net soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_ce budget 3.943000 ns (4,43) -> (4,43)
Info: Sink $abc$113069$soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_q_next_binary[1]$lut_SLICE.D1
Info: 0.2 3.1 Source $abc$113069$soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_q_next_binary[1]$lut_SLICE.F1
Info: 0.1 3.2 Net soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_q_next[1] budget 3.943000 ns (4,43) -> (4,43)
Info: Sink $abc$113069$soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_q_next_binary[1]$lut_SLICE.DI1
Info: 0.0 3.2 Setup $abc$113069$soclinux_uart_cdcusbphy_usb_core_rx_flagsFifo_graycounter0_q_next_binary[1]$lut_SLICE.DI1
Info: 1.1 ns logic, 2.1 ns routing
Info: Critical path report for cross-domain path '<async>' -> 'posedge $glbnet$main_clkout0':
Info: curr total
Info: 0.0 0.0 Source TRELLIS_IO_9.O
Info: 3.6 3.6 Net soclinux_spiflash_i0[1] budget 6.783000 ns (11,71) -> (14,12)
Info: Sink $abc$113069$__27747__$lut.mux5_SLICE.A0
Info: 0.3 3.9 Source $abc$113069$__27747__$lut.mux5_SLICE.OFX0
Info: 0.3 4.3 Net $abc$113069$__27747__ budget 2.097000 ns (14,12) -> (14,12)
Info: Sink $abc$113069$__13779__$lut_SLICE.D1
Info: 0.2 4.5 Source $abc$113069$__13779__$lut_SLICE.F1
Info: 0.1 4.6 Net $abc$113069$auto$wreduce.cc:454:run$16019[0] budget 2.096000 ns (14,12) -> (14,12)
Info: Sink $abc$113069$__13779__$lut_SLICE.DI1
Info: 0.0 4.6 Setup $abc$113069$__13779__$lut_SLICE.DI1
Info: 0.5 ns logic, 4.1 ns routing
Info: Critical path report for cross-domain path 'posedge $glbnet$main_clkout0' -> '<async>':
Info: curr total
Info: 0.4 0.4 Source $abc$113069$__9247__$lut_SLICE.Q1
Info: 3.0 3.4 Net soclinux_spiflash_bus_dat_r[5] budget 41.379002 ns (36,17) -> (15,36)
Info: Sink $auto$abc9.cc:774:abc9_module$349379_SLICE.C1
Info: 0.2 3.6 Source $auto$abc9.cc:774:abc9_module$349379_SLICE.F1
Info: 2.7 6.2 Net soclinux_spiflash_o[1] budget 41.379002 ns (15,36) -> (11,71)
Info: Sink TRELLIS_IO_9.I
Info: 0.6 ns logic, 5.7 ns routing
Info: Critical path report for cross-domain path 'posedge $glbnet$soclinux_clkout0' -> '<async>':
Info: curr total
Info: 0.4 0.4 Source $abc$113069$__13127__$lut_SLICE.Q1
Info: 1.5 1.9 Net soclinux_uart_cdcusbphy_usb_core_rx_detect_reset budget 41.380001 ns (3,44) -> (2,31)
Info: Sink $abc$113069$__1384__$not$lut_SLICE.D1
Info: 0.2 2.0 Source $abc$113069$__1384__$not$lut_SLICE.F1
Info: 0.8 2.8 Net $abc$113069$not$/home/pdp7/dev/greg/linux-on-litex-vexriscv/build/hadbadge/gateware/top.v:9189$3622_Y budget 41.380001 ns (2,31) -> (0,32)
Info: Sink TRELLIS_IO_12.T
Info: 0.6 ns logic, 2.2 ns routing
Info: Critical path report for cross-domain path 'posedge $glbnet$soclinux_clkout0' -> 'posedge $glbnet$soclinux_clkout1':
Info: curr total
Info: 0.4 0.4 Source $abc$113069$0\soclinux_uart_cdcusbphy_usb_core_rx_reset_counter[6:0][6]$lut_SLICE.Q1
Info: 1.7 2.1 Net soclinux_uart_cdcusbphy_address_reset budget 82.652000 ns (3,48) -> (6,31)
Info: Sink $auto$simplemap.cc:420:simplemap_dff$34253_SLICE.LSR
Info: 0.3 2.4 Setup $auto$simplemap.cc:420:simplemap_dff$34253_SLICE.LSR
Info: 0.7 ns logic, 1.7 ns routing
Info: Critical path report for cross-domain path 'posedge $glbnet$soclinux_clkout1' -> '<async>':
Info: curr total
Info: 0.4 0.4 Source $auto$simplemap.cc:420:simplemap_dff$34252_SLICE.Q0
Info: 1.1 1.5 Net usb_pullup budget 82.938004 ns (2,21) -> (0,17)
Info: Sink usb_pullup$tr_io.I
Info: 0.4 ns logic, 1.1 ns routing
Info: Critical path report for cross-domain path 'posedge $glbnet$soclinux_clkout1' -> 'posedge $glbnet$soclinux_clkout0':
Info: curr total
Info: 0.4 0.4 Source $abc$113069$soclinux_uart_cdcusbphy_usb_core_tx_state_gray_csrtransform_txpipeline_next_value1[1]$lut_SLICE.Q0
Info: 0.9 1.3 Net soclinux_uart_cdcusbphy_usb_core_tx_state_gray[1] budget 13.377000 ns (5,49) -> (5,48)
Info: Sink $auto$abc9.cc:774:abc9_module$349374.mux5_SLICE.A0
Info: 0.3 1.6 Source $auto$abc9.cc:774:abc9_module$349374.mux5_SLICE.OFX0
Info: 0.1 1.7 Net soclinux_uart_cdcusbphy_usb_core_tx_fit_dat budget 6.688000 ns (5,48) -> (5,48)
Info: Sink $auto$abc9.cc:774:abc9_module$349374.mux5_SLICE.DI0
Info: 0.0 1.7 Setup $auto$abc9.cc:774:abc9_module$349374.mux5_SLICE.DI0
Info: 0.7 ns logic, 1.0 ns routing
Info: Max frequency for clock '$glbnet$main_clkout0': 62.27 MHz (PASS at 48.00 MHz)
Info: Max frequency for clock '$glbnet$soclinux_clkout1': 99.65 MHz (PASS at 12.00 MHz)
Info: Max frequency for clock '$glbnet$soclinux_clkout0': 310.56 MHz (PASS at 48.00 MHz)
Info: Max delay <async> -> posedge $glbnet$main_clkout0 : 4.58 ns
Info: Max delay posedge $glbnet$main_clkout0 -> <async> : 6.24 ns
Info: Max delay posedge $glbnet$soclinux_clkout0 -> <async> : 2.81 ns
Info: Max delay posedge $glbnet$soclinux_clkout0 -> posedge $glbnet$soclinux_clkout1: 2.35 ns
Info: Max delay posedge $glbnet$soclinux_clkout1 -> <async> : 1.46 ns
Info: Max delay posedge $glbnet$soclinux_clkout1 -> posedge $glbnet$soclinux_clkout0: 1.99 ns
Info: Slack histogram:
Info: legend: * represents 51 endpoint(s)
Info: + represents [1,51) endpoint(s)
Info: [ 4774, 8659) |***+
Info: [ 8659, 12544) |****************************************+
Info: [ 12544, 16429) |***********************************************+
Info: [ 16429, 20314) |************************************************************
Info: [ 20314, 24199) |
Info: [ 24199, 28084) |
Info: [ 28084, 31969) |
Info: [ 31969, 35854) |
Info: [ 35854, 39739) |
Info: [ 39739, 43624) |
Info: [ 43624, 47509) |
Info: [ 47509, 51394) |
Info: [ 51394, 55279) |
Info: [ 55279, 59164) |
Info: [ 59164, 63049) |
Info: [ 63049, 66934) |
Info: [ 66934, 70819) |
Info: [ 70819, 74704) |+
Info: [ 74704, 78589) |*****+
Info: [ 78589, 82474) |***************+
buildroot/rv32.dtb: Warning (reg_format): /soc/spiflash@f0003000/flash@0:reg: property has invalid length (16 bytes) (#address-cells == 2, #size-cells == 1)
buildroot/rv32.dtb: Warning (pci_device_reg): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (pci_device_bus_num): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (simple_bus_reg): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (avoid_default_addr_size): /soc/spiflash@f0003000/flash@0: Relying on default #address-cells value
buildroot/rv32.dtb: Warning (avoid_default_addr_size): /soc/spiflash@f0003000/flash@0: Relying on default #size-cells value
buildroot/rv32.dtb: Warning (avoid_unnecessary_addr_size): Failed prerequisite 'avoid_default_addr_size'
buildroot/rv32.dtb: Warning (unique_unit_address): Failed prerequisite 'avoid_default_addr_size'
CC isr.o
CC main.o
LD emulator.elf
chmod -x emulator.elf
OBJCOPY emulator.bin
chmod -x emulator.bin
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment