Skip to content

Instantly share code, notes, and snippets.

View pepijndevos's full-sized avatar

Pepijn de Vos pepijndevos

View GitHub Profile
@pepijndevos
pepijndevos / upload.py
Last active October 2, 2022 18:20
Upload code to the Robot Inventor hub
import base64
import json
import sys
import serial
import random
import os
if len(sys.argv) != 4:
print(f"Usage\n{sys.argv[1]} tty code.py slot")
exit()
@pepijndevos
pepijndevos / PKGBUILD
Last active March 21, 2021 15:16
capnproto-git PKGBUILD
# Maintainer: David Runge <dvzrv@archlinux.org>
# Contributors: Dave Reisner <dreisner@archlinux.org>
# Matthias Blaicher <matthias@blaicher.com>
# Severen Redwood <severen@shrike.me>
_pkgname=capnproto
pkgname=capnproto-git
pkgver=0.8.r1.b5ab41ea
pkgrel=1
pkgdesc="Cap'n Proto serialization/RPC system"
.global Vss Vdd
.model digital_pulldown d_pulldown
.model digital_pullup d_pullup
Ad_pulldown Vss digital_pulldown
Ad_pullup Vdd digital_pullup
.SUBCKT __BUF_ A Y
.model buffer1 d_buffer
using ModelingToolkit
using StructuralTransformations
using OrdinaryDiffEq
using IfElse: ifelse
using Plots
function NMOS_L1(Vg, Vd, Vs, Vth, W, L, uCox)
Vgs = Vg-Vs
Vds = Vd-Vs
@pepijndevos
pepijndevos / nodevoltage.jl
Created December 2, 2020 19:54
Calculate node voltages from a netlist
using LinearAlgebra
@enum Element Resistor Voltage Current
netlist = [
(0, 1, Resistor, 4),
(0, 1, Current, 6),
(0, 2, Resistor, 6),
(0, 3, Resistor, 8),
(1, 2, Resistor, 3),
from selenium import webdriver
from selenium.webdriver.common.keys import Keys
from selenium.webdriver.common.action_chains import ActionChains
from selenium.common.exceptions import NoSuchElementException
from selenium.webdriver.support.ui import WebDriverWait
from selenium.webdriver.support import expected_conditions as EC
driver = webdriver.Firefox()
driver.get("https://www.domijn.nl/mijndomijn/inloggen/")
assert "Domijn" in driver.title
@pepijndevos
pepijndevos / main.rs
Last active May 3, 2020 16:35
ILI9341 program for STM32F4DISCOVERY that draws a circle, slowly.
#![no_main]
#![no_std]
use panic_halt as _;
use stm32f407g_disc as board;
use crate::board::{
hal::stm32,
hal::spi::{Mode, Phase, Polarity, Spi},
@pepijndevos
pepijndevos / sgp30_influx.py
Created April 9, 2020 08:38
Send info from a DHT11 and SGP30 to an Influxdb
import time
import board
import busio
import adafruit_sgp30
import adafruit_dht
from influxdb import InfluxDBClient
from math import exp
from queue import Queue
from threading import Thread, Event
@pepijndevos
pepijndevos / plot.py
Last active September 3, 2020 17:40
Plot reported cases since reaching 100 cases
import pandas as pd
import numpy as np
import matplotlib.pyplot as plt
import matplotlib.dates as mdates
#cutoff = 100
def flip(name):
df = pd.read_csv(name)
del df['Lat']
@pepijndevos
pepijndevos / logicgame.clj
Created October 16, 2019 20:37
A game of logic gates
(ns logicgame.core
(:use clojure.core.logic)
(:gen-class))
(defne ando [a b y]
([1 1 1])
([1 0 0])
([0 1 0])
([0 0 0]))