Skip to content

Instantly share code, notes, and snippets.

@ppcluddite
Created February 22, 2014 01:01
Show Gist options
  • Save ppcluddite/9146908 to your computer and use it in GitHub Desktop.
Save ppcluddite/9146908 to your computer and use it in GitHub Desktop.
Tuntap make log
Here's my tuntap make.log and brew --config at the bottom:
cd src/tap && make TUNTAP_VERSION=20090913 -f Makefile all
/usr/local/bin/g++-4.2 -Wall -mkernel -force_cpusubtype_ALL -fno-builtin -fno-stack-protector -arch ppc -arch i386 -arch x86_64 -DKERNEL -D__APPLE__ -DKERNEL_PRIVATE -DTUNTAP_VERSION=\"20090913\" -DTAP_KEXT_VERSION=\"20090913\" -I.. -I/System/Library/Frameworks/Kernel.framework/Headers -c ../tuntap.cc -o ../tuntap.o
../tuntap.cc: In member function 'virtual bool tuntap_interface::register_interface(const sockaddr_dl*, void*, u_int32_t)':
../tuntap.cc:329: error: invalid conversion from 'errno_t (*)(__ifnet*, long unsigned int, void*)' to 'errno_t (*)(__ifnet*, u_int32_t, void*)'
../tuntap.cc: In member function 'virtual bool tuntap_interface::register_interface(const sockaddr_dl*, void*, u_int32_t)':
../tuntap.cc:329: error: invalid conversion from 'errno_t (*)(__ifnet*, long unsigned int, void*)' to 'errno_t (*)(__ifnet*, u_int32_t, void*)'
In file included from /System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:31,
from ../lock.h:35,
from ../tuntap.h:35,
from ../tuntap.cc:30:
/System/Library/Frameworks/Kernel.framework/Headers/machine/locks.h:31:2: error: #error architecture not supported
In file included from /System/Library/Frameworks/Kernel.framework/Headers/sys/param.h:107,
from ../lock.h:36,
from ../tuntap.h:35,
from ../tuntap.cc:30:
/System/Library/Frameworks/Kernel.framework/Headers/machine/limits.h:28:2: error: #error architecture not supported
In file included from /System/Library/Frameworks/Kernel.framework/Headers/mach/mach_types.h:99,
from /System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:29,
from ../lock.h:35,
from ../tuntap.h:35,
from ../tuntap.cc:30:
/System/Library/Frameworks/Kernel.framework/Headers/mach/vm_param.h:224: error: size of array '__NEED_TO_CHANGE_CAST_DOWN' is negative
In file included from ../lock.h:35,
from ../tuntap.h:35,
from ../tuntap.cc:30:
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:99: error: expected initializer before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:104: warning: 'lck_spin_init' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:104: error: variable or field 'lck_spin_init' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:104: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:104: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:105: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:105: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:106: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:106: error: 'attr' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:109: warning: 'lck_spin_lock' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:109: error: variable or field 'lck_spin_lock' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:109: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:109: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:112: warning: 'lck_spin_unlock' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:112: error: variable or field 'lck_spin_unlock' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:112: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:112: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:115: warning: 'lck_spin_destroy' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:115: error: variable or field 'lck_spin_destroy' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:115: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:115: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:116: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:116: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:119: warning: 'lck_spin_free' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:119: error: variable or field 'lck_spin_free' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:119: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:119: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:120: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:120: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:123: warning: 'lck_spin_sleep' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:123: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:123: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:124: error: expected primary-expression before 'lck_sleep_action'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:125: error: expected primary-expression before 'event'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:126: error: expected primary-expression before 'interruptible'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:126: error: initializer expression list treated as compound expression
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:129: warning: 'lck_spin_sleep_deadline' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:129: error: 'lck_spin_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:129: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:130: error: expected primary-expression before 'lck_sleep_action'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:131: error: expected primary-expression before 'event'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:132: error: expected primary-expression before 'interruptible'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:133: error: expected primary-expression before 'deadline'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:133: error: initializer expression list treated as compound expression
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:139: error: expected initializer before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:144: warning: 'lck_mtx_init' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:144: error: variable or field 'lck_mtx_init' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:144: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:144: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:145: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:145: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:146: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:146: error: 'attr' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:149: warning: 'lck_mtx_lock' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:149: error: variable or field 'lck_mtx_lock' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:149: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:149: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:152: warning: 'lck_mtx_unlock' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:152: error: variable or field 'lck_mtx_unlock' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:152: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:152: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:155: warning: 'lck_mtx_destroy' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:155: error: variable or field 'lck_mtx_destroy' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:155: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:155: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:156: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:156: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:159: warning: 'lck_mtx_free' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:159: error: variable or field 'lck_mtx_free' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:159: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:159: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:160: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:160: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:163: warning: 'lck_mtx_sleep' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:163: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:163: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:164: error: expected primary-expression before 'lck_sleep_action'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:165: error: expected primary-expression before 'event'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:166: error: expected primary-expression before 'interruptible'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:166: error: initializer expression list treated as compound expression
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:169: warning: 'lck_mtx_sleep_deadline' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:169: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:169: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:170: error: expected primary-expression before 'lck_sleep_action'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:171: error: expected primary-expression before 'event'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:172: error: expected primary-expression before 'interruptible'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:173: error: expected primary-expression before 'deadline'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:173: error: initializer expression list treated as compound expression
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:177: warning: 'lck_mtx_assert' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:177: error: variable or field 'lck_mtx_assert' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:177: error: 'lck_mtx_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:177: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:178: error: expected primary-expression before 'unsigned'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:195: error: expected initializer before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:200: warning: 'lck_rw_init' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:200: error: variable or field 'lck_rw_init' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:200: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:200: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:201: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:201: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:202: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:202: error: 'attr' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:205: warning: 'lck_rw_lock' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:205: error: variable or field 'lck_rw_lock' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:205: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:205: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:206: error: expected primary-expression before 'lck_rw_type'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:209: warning: 'lck_rw_unlock' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:209: error: variable or field 'lck_rw_unlock' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:209: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:209: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:210: error: expected primary-expression before 'lck_rw_type'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:213: warning: 'lck_rw_lock_shared' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:213: error: variable or field 'lck_rw_lock_shared' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:213: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:213: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:216: warning: 'lck_rw_unlock_shared' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:216: error: variable or field 'lck_rw_unlock_shared' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:216: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:216: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:219: warning: 'lck_rw_lock_exclusive' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:219: error: variable or field 'lck_rw_lock_exclusive' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:219: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:219: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:222: warning: 'lck_rw_unlock_exclusive' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:222: error: variable or field 'lck_rw_unlock_exclusive' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:222: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:222: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:226: warning: 'lck_rw_destroy' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:226: error: variable or field 'lck_rw_destroy' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:226: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:226: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:227: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:227: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:230: warning: 'lck_rw_free' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:230: error: variable or field 'lck_rw_free' declared void
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:230: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:230: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:231: error: expected primary-expression before '*' token
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:231: error: 'grp' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:234: warning: 'lck_rw_sleep' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:234: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:234: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:235: error: expected primary-expression before 'lck_sleep_action'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:236: error: expected primary-expression before 'event'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:237: error: expected primary-expression before 'interruptible'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:237: error: initializer expression list treated as compound expression
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:240: warning: 'lck_rw_sleep_deadline' initialized and declared 'extern'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:240: error: 'lck_rw_t' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:240: error: 'lck' was not declared in this scope
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:241: error: expected primary-expression before 'lck_sleep_action'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:242: error: expected primary-expression before 'event'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:243: error: expected primary-expression before 'interruptible'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:244: error: expected primary-expression before 'deadline'
/System/Library/Frameworks/Kernel.framework/Headers/kern/locks.h:244: error: initializer expression list treated as compound expression
In file included from ../tuntap.h:35,
from ../tuntap.cc:30:
../lock.h:70: error: ISO C++ forbids declaration of 'lck_rw_t' with no type
../lock.h:70: error: expected ';' before '*' token
In file included from /System/Library/Frameworks/Kernel.framework/Headers/sys/systm.h:100,
from ../tuntap.h:43,
from ../tuntap.cc:30:
/System/Library/Frameworks/Kernel.framework/Headers/sys/proc.h:212: error: 'lck_mtx_t' has not been declared
../tuntap.cc: In member function 'virtual bool tuntap_interface::register_interface(const sockaddr_dl*, void*, u_int32_t)':
../tuntap.cc:329: error: invalid conversion from 'errno_t (*)(__ifnet*, long unsigned int, void*)' to 'errno_t (*)(__ifnet*, u_int32_t, void*)'
lipo: can't figure out the architecture type of: /var/tmp//ccpAP4du.out
make[1]: *** [../tuntap.o] Error 1
make: *** [tap.kext] Error 2
HOMEBREW_VERSION: 0.9.5
HEAD: 825f03b5ab2e41ca013214f6c97ed6b13af18636
CPU: single-core 32-bit g4e
OS X: 10.4.11-Power Macintosh
Xcode: 2.5
X11: 1.1.3 => /usr/X11R6
Powerbook:~ dan$ brew --config
HOMEBREW_VERSION: 0.9.5
ORIGIN: https://github.com/mistydemeo/tigerbrew.git
HEAD: 825f03b5ab2e41ca013214f6c97ed6b13af18636
HOMEBREW_PREFIX: /usr/local
HOMEBREW_CELLAR: /usr/local/Cellar
CPU: single-core 32-bit g4e
OS X: 10.4.11-Power Macintosh
Xcode: 2.5
GCC-4.0: build 5370
GCC-4.2: build 5553
Clang: N/A
X11: 1.1.3 => /usr/X11R6
System Ruby: 1.8.2
Perl: /usr/bin/perl
Python: /usr/local/bin/python => /Library/Frameworks/Python.framework/Versions/2.7/bin/python2.7
Ruby: /usr/bin/ruby
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment