Skip to content

Instantly share code, notes, and snippets.

View rileychh's full-sized avatar

Riley Ho rileychh

View GitHub Profile
@rileychh
rileychh / pck_myhdl_011.vhd
Last active October 29, 2020 02:16
MyHDL test
-- File: pck_myhdl_011.vhd
-- Generated by MyHDL 0.11
-- Date: Thu Oct 29 09:20:02 2020
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package pck_myhdl_011 is
@rileychh
rileychh / assignment-1.py
Created March 7, 2022 08:34
Python course assignments 2022-03-07
import random
a, b = [random.randint(1, 100) for _ in range(2)]
print(
f"""{a = }, {b = }
{a + b = }
{a - b = }
{a * b = }
{a / b = }
@rileychh
rileychh / assignment.ipynb
Created March 21, 2022 05:42
Python course assignments 2022-03-14
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@rileychh
rileychh / assignment.ipynb
Last active March 21, 2022 08:41
Python course assignment 2022-03-21
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@rileychh
rileychh / assignment.ipynb
Created April 11, 2022 08:39
Python course assignment 2022-04-11
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@rileychh
rileychh / assignment.ipynb
Created April 28, 2022 07:16
Python course assignment 2022-04-25
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@rileychh
rileychh / assignment.ipynb
Created May 9, 2022 08:04
Python course assignment 2022-05-09
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@rileychh
rileychh / assignment.ipynb
Created May 23, 2022 08:49
Python course assignment 2022-05-23
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@rileychh
rileychh / assignment.ipynb
Created May 30, 2022 07:56
Python course assignment 2022-05-30
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
#include <stdio.h>
#include <ctype.h>
#include <string.h>
#define SHIFT 4
void decrypt(char *blessing)
{
char c;
while (*blessing)