Skip to content

Instantly share code, notes, and snippets.

@rodrigomelo9
Last active September 9, 2022 00:15
Show Gist options
  • Save rodrigomelo9/76bb279646198c6481413ee0a55457c8 to your computer and use it in GitHub Desktop.
Save rodrigomelo9/76bb279646198c6481413ee0a55457c8 to your computer and use it in GitHub Desktop.
Verilog configuration
config cfg;
design top;
instance top.aux_inst.mod_inst use mod2;
endconfig
module top (input a, output b);
aux aux_inst (.a(a), .b(b));
endmodule
module aux (input a, output b);
mod1 mod_inst (.a(a), .b(b));
endmodule
module mod1 (input a, output b);
assign b = ~a;
endmodule
module mod2 (input a, output b);
assign b = 1'b0;
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment