To check and repair storage drive in windows using powershell follow this steps:
Repair-Volume C –Scan
Repair-Volume C –OfflineScanAndFix
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.numeric_std.all; | |
entity digital_clock is | |
port ( | |
clk: in std_logic; | |
rst_n: in std_logic; | |
H_in1: in std_logic_vector(1 downto 0); |