Skip to content

Instantly share code, notes, and snippets.

@ryu10
Created April 28, 2024 04:13
Show Gist options
  • Save ryu10/60f5eb95cafb456e32fcbef85c87d0d5 to your computer and use it in GitHub Desktop.
Save ryu10/60f5eb95cafb456e32fcbef85c87d0d5 to your computer and use it in GitHub Desktop.
Icarus Verilog sim execution script for oneshottmr.v
TOP_MODULE=oneshottmr_sim
OUT_FILE=test.out
# compile
iverilog -g2012 \
-o ${OUT_FILE} \
-s ${TOP_MODULE} ./scenario.sv ../src/oneshottmr.v
# ./oneshottmr_orig.v
# ../src/oneshottmr.v
# simulation
vvp ${OUT_FILE}
# gtkwave scenario.vcd
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment