Skip to content

Instantly share code, notes, and snippets.

@seldridge
Last active July 30, 2019 17:35
Show Gist options
  • Save seldridge/a859a862dba9e464502333e62561099c to your computer and use it in GitHub Desktop.
Save seldridge/a859a862dba9e464502333e62561099c to your computer and use it in GitHub Desktop.
List of Chisel/FIRRTL current deprecations

Chisel3

./coreMacros/src/main/scala/chisel3/internal/RuntimeDeprecationTransform.scala:  /** Adds a Builder.deprecated(...) call based on the contents of a plain @deprecated annotation.
./coreMacros/src/main/scala/chisel3/internal/RuntimeDeprecationTransform.scala:          case _ => c.abort(c.enclosingPosition, s"@chiselRuntimeDeprecated annotion must be used with exactly one @deprecated annotation, got annotations $annotations") // scalastyle:ignore line.size.limit
./chiselFrontend/src/main/scala/chisel3/Reg.scala:  @deprecated("Use Reg(t), RegNext(next, [init]) or RegInit([t], init) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Mem.scala:  @deprecated("Mem argument order should be size, t; this will be removed by the official release", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Mem.scala:  @deprecated("Mem argument order should be size, t; this will be removed by the official release", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Mem.scala:  @deprecated("SeqMem/SyncReadMem argument order should be size, t; this will be removed by the official release", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Mem.scala:  @deprecated("SeqMem/SyncReadMem argument order should be size, t; this will be removed by the official release", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Data.scala:  @deprecated("debug doesn't do anything in Chisel3 as no pruning happens in the frontend", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Data.scala:  @deprecated("pending removal once all instances replaced", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Data.scala:  @deprecated("Best alternative, .asUInt()", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Data.scala:  @deprecated("Wire(init=init) is deprecated, use WireDefault(init) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Data.scala:  @deprecated("Wire(t, init) is deprecated, use WireDefault(t, init) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Data.scala:  @deprecated("Wire(t, init) is deprecated, use WireDefault(t, init) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Input(Data) should be used over Data.asInput", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Output(Data) should be used over Data.asOutput", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Flipped(Data) should be used over Data.flip", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("fromBits is deprecated, use asTypeOf instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("chiselCloneType is deprecated, use chiselTypeOf(...) to get the Chisel Type of a hardware object", "chisel3") // scalastyle:ignore line.size.limit
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Vec argument order should be size, t; this will be removed by the official release", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Vec.fill(n)(gen) is deprecated, use VecInit(Seq.fill(n)(gen)) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Vec(elts) is deprecated, use VecInit(elts) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Vec(elt0, ...) is deprecated, use VecInit(elt0, ...) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("Vec.tabulate(n)(gen) is deprecated, use VecInit.tabulate(n)(gen) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use n.U", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use n.U(width.W)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.U(width)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.U(width.W)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.U", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use UInt(width.W)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use UInt(width)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use SInt(width.W)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use SInt(width)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.S", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.S(width.W)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.S(width)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.S", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use value.S(width)", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:    @deprecated("use x.B", "chisel3, will be removed by end of 2017")
./chiselFrontend/src/main/scala/chisel3/package.scala:  @deprecated("Use 'SyncReadMem'", "chisel3")
./chiselFrontend/src/main/scala/chisel3/package.scala:  @deprecated("Use 'SyncReadMem'", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Aggregate.scala:  @deprecated("Use Vec.apply instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Aggregate.scala:  @deprecated("Use Vec.apply instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:  @deprecated("Use asUInt, which does the same thing but returns a more concrete type", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:  @deprecated("Use asSInt, which makes the reinterpret cast more explicit", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:  @deprecated("Use asUInt, which makes the reinterpret cast more explicit", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:  @deprecated("Use '=/=', which avoids potential precedence problems", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:  @deprecated("Use '=/=', which avoids potential precedence problems", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:    @deprecated("Use FixedPoint(width: Width, binaryPoint: BinaryPoint) example FixedPoint(16.W, 8.BP)", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:    @deprecated("use fromDouble(value: Double, width: Width, binaryPoint: BinaryPoint)", "chisel3")
./chiselFrontend/src/main/scala/chisel3/Bits.scala:        @deprecated("Use notation <double>.F(<binary_point>.BP) instead", "chisel3")
./chiselFrontend/src/main/scala/chisel3/RawModule.scala:  @deprecated("Module constructor with override_clock and override_reset deprecated, use withClockAndReset", "chisel3")
./chiselFrontend/src/main/scala/chisel3/RawModule.scala:  @deprecated("Module constructor with override _clock deprecated, use withClock", "chisel3")
./chiselFrontend/src/main/scala/chisel3/RawModule.scala:  @deprecated("Module constructor with override _reset deprecated, use withReset", "chisel3")
./chiselFrontend/src/main/scala/chisel3/RawModule.scala:  @deprecated("Module constructor with override _clock, _reset deprecated, use withClockAndReset", "chisel3")
./chiselFrontend/src/main/scala/chisel3/core/package.scala:  @deprecated("Avoid importing from chisel3.core, these are not public APIs and may change at any time. " +
./chiselFrontend/src/main/scala/chisel3/core/package.scala:  @deprecated("Avoid importing from chisel3.core, these are not public APIs and may change at any time. " +
./chiselFrontend/src/main/scala/chisel3/core/package.scala:  @deprecated("Avoid importing from chisel3.core, these are not public APIs and may change at any time. " +
./chiselFrontend/src/main/scala/chisel3/core/package.scala:  @deprecated("Avoid importing from chisel3.core, these are not public APIs and may change at any time. " +
./chiselFrontend/src/main/scala/chisel3/Annotation.scala:  @deprecated("Write a custom ChiselAnnotation subclass instead", "3.1")
./chiselFrontend/src/main/scala/chisel3/Annotation.scala:  @deprecated("Write a custom ChiselAnnotation subclass instead", "3.1")
./chiselFrontend/src/main/scala/chisel3/Module.scala:    @deprecated("Use chisel3.experimental.annotate instead", "3.1")
./src/main/scala/chisel3/util/Enum.scala:  @deprecated("use Enum(n)", "chisel3, will be removed soon")
./src/main/scala/chisel3/util/BitPat.scala:  @deprecated("Use BitPat.dontCare", "chisel3")
./src/main/scala/chisel3/util/BitPat.scala:    @deprecated("Use '=/=', which avoids potential precedence problems", "chisel3")
./src/main/scala/chisel3/util/BitPat.scala:  @deprecated("Use '=/=', which avoids potential precedence problems", "chisel3")
./src/main/scala/chisel3/util/Decoupled.scala:  @deprecated("Module constructor with override _reset deprecated, use withReset", "chisel3")
./src/main/scala/chisel3/util/Decoupled.scala:  @deprecated("Module constructor with override _reset deprecated, use withReset", "chisel3")
./src/main/scala/chisel3/util/Math.scala:  //@deprecated("Use log2Ceil instead", "chisel3")
./src/main/scala/chisel3/util/Math.scala:  //@deprecated("Use log2Floor instead", "chisel3")
./src/main/scala/chisel3/compatibility.scala:    @deprecated("Vec argument order should be size, t; this will be removed by the official release", "chisel3")
./src/main/scala/chisel3/compatibility.scala:  @deprecated("debug doesn't do anything in Chisel3 as no pruning happens in the frontend", "chisel3")
./src/main/scala/chisel3/compatibility.scala:    @deprecated("Use list-based Enum", "not soon enough")
./src/main/scala/chisel3/compatibility.scala:    @deprecated("Use list-based Enum", "not soon enough")

FIRRTL

./src/main/scala/firrtl/Driver.scala:  @deprecated("Use side-effect free getAnnotation instead", "1.1")
./src/main/scala/firrtl/annotations/Annotation.scala:@deprecated("Just extend NoTargetAnnotation", "1.1")
./src/main/scala/firrtl/annotations/Annotation.scala:  @deprecated("This returns a LegacyAnnotation, use an explicit Annotation type", "1.1")
./src/main/scala/firrtl/annotations/Annotation.scala:  @deprecated("This uses LegacyAnnotation, use an explicit Annotation type", "1.1")
./src/main/scala/firrtl/stage/phases/DriverCompatibility.scala:  @deprecated("""AddImplicitEmitter should only be used to build Driver compatibility wrappers. Switch to Stage.""",
./src/main/scala/firrtl/stage/phases/DriverCompatibility.scala:  @deprecated("""AddImplicitOutputFile should only be used to build Driver compatibility wrappers. Switch to Stage.""",
./src/main/scala/firrtl/ExecutionOptionsManager.scala:  @deprecated("Use FirrtlOptions.annotationFileNames instead", "1.1")
./src/main/scala/firrtl/passes/wiring/WiringUtils.scala:@deprecated("Use DiGraph/InstanceGraph", "1.1.1")
./src/main/scala/firrtl/passes/wiring/WiringUtils.scala:  @deprecated("Use DiGraph/InstanceGraph", "1.1.1")
./src/main/scala/firrtl/passes/wiring/WiringUtils.scala:  @deprecated("Use DiGraph/InstanceGraph", "1.1.1")
./src/main/scala/firrtl/passes/wiring/WiringUtils.scala:  @deprecated("Use DiGraph/InstanceGraph", "1.1.1")
./src/main/scala/firrtl/Compiler.scala:  @deprecated("Just collect the actual Annotation types the transform wants", "1.1")
./src/main/scala/firrtl/Compiler.scala:  @deprecated("Use emission annotations instead", "firrtl 1.0")
./src/main/scala/firrtl/Compiler.scala:  @deprecated("Please use compileAndEmit or other compile method instead", "firrtl 1.0")

Treadle

src/main/scala/treadle/TreadleTester.scala:  @deprecated("Use TreadleTester(annotationSeq) instead")
src/main/scala/treadle/executable/DataStore.scala:  @deprecated("Use saveData(time: Long), clock based rollback buffers are no longer supported")

Testers

None!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment