Skip to content

Instantly share code, notes, and snippets.

@sergev
Created July 1, 2021 06:50
Show Gist options
  • Save sergev/2a91363c8f34010fda631a8f86bc408a to your computer and use it in GitHub Desktop.
Save sergev/2a91363c8f34010fda631a8f86bc408a to your computer and use it in GitHub Desktop.
Disassembled ROM from Espressif ESP32-C3 microcontroller
This file has been truncated, but you can view the full file.
esp32c3_rev3_rom.elf: file format elf32-littleriscv
Disassembly of section .fixed.text:
40000000 <_start>:
40000000: 6910106f j 40001e90 <_init>
...
40000010 <_rom_chip_id>:
40000010: 0005 c.nop 1
...
40000014 <_rom_eco_version>:
40000014: 00000003 lb zero,0(zero) # 0 <RV_STK_EPC>
40000018 <__call_rtc_get_reset_reason>:
40000018: 2774806f j 40048a8e <rtc_get_reset_reason>
4000001c <__call_analog_super_wdt_reset_happened>:
4000001c: 20b4806f j 40048a26 <analog_super_wdt_reset_happened>
40000020 <__call_jtag_cpu_reset_happened>:
40000020: 23d4806f j 40048a5c <jtag_cpu_reset_happened>
40000024 <__call_rtc_get_wakeup_cause>:
40000024: 27f4806f j 40048aa2 <rtc_get_wakeup_cause>
40000028 <__call_rtc_boot_control>:
40000028: 2f54806f j 40048b1c <rtc_boot_control>
4000002c <__call_rtc_select_apb_bridge>:
4000002c: 36f4806f j 40048b9a <rtc_select_apb_bridge>
40000030 <__call_rtc_unhold_all_pads>:
40000030: 3bb4706f j 40047bea <rtc_unhold_all_pads>
40000034 <__call_set_rtc_memory_crc>:
40000034: 2cf4806f j 40048b02 <set_rtc_memory_crc>
40000038 <__call_cacl_rtc_memory_crc>:
40000038: 27b4806f j 40048ab2 <cacl_rtc_memory_crc>
4000003c <__call_ets_is_print_boot>:
4000003c: 3244c06f j 4004c360 <ets_is_print_boot>
40000040 <__call_ets_printf>:
40000040: 6944806f j 400486d4 <ets_printf>
40000044 <__call_ets_install_putc1>:
40000044: 66a4806f j 400486ae <ets_install_putc1>
40000048 <__call_ets_install_uart_printf>:
40000048: 6704806f j 400486b8 <ets_install_uart_printf>
4000004c <__call_ets_install_putc2>:
4000004c: 67e4806f j 400486ca <ets_install_putc2>
40000050 <__call_ets_delay_us>:
40000050: 27c4606f j 400462cc <ets_delay_us>
40000054 <__call_ets_get_stack_info>:
40000054: 1404806f j 40048194 <ets_get_stack_info>
40000058 <__call_ets_install_lock>:
40000058: 6444806f j 4004869c <ets_install_lock>
4000005c <__call_ets_backup_dma_copy>:
4000005c: 01a4606f j 40046076 <ets_backup_dma_copy>
40000060 <__call_ets_apb_backup_init_lock_func>:
40000060: 7894506f j 40045fe8 <ets_apb_backup_init_lock_func>
40000064 <__call_UartRxString>:
40000064: 4e24c06f j 4004c546 <UartRxString>
40000068 <__call_uart_tx_one_char>:
40000068: 3844c06f j 4004c3ec <uart_tx_one_char>
4000006c <__call_uart_tx_one_char2>:
4000006c: 3e84c06f j 4004c454 <uart_tx_one_char2>
40000070 <__call_uart_rx_one_char>:
40000070: 49e4c06f j 4004c50e <uart_rx_one_char>
40000074 <__call_uart_rx_one_char_block>:
40000074: 4644c06f j 4004c4d8 <uart_rx_one_char_block>
40000078 <__call_uart_rx_readbuff>:
40000078: 5aa4c06f j 4004c622 <uart_rx_readbuff>
4000007c <__call_uartAttach>:
4000007c: 0684c06f j 4004c0e4 <uartAttach>
40000080 <__call_uart_tx_flush>:
40000080: 3ec4c06f j 4004c46c <uart_tx_flush>
40000084 <__call_uart_tx_wait_idle>:
40000084: 41a4c06f j 4004c49e <uart_tx_wait_idle>
40000088 <__call_uart_div_modify>:
40000088: 1844c06f j 4004c20c <uart_div_modify>
4000008c <__call_multofup>:
4000008c: 1964b06f j 4004b222 <multofup>
40000090 <__call_software_reset>:
40000090: 2e54806f j 40048b74 <software_reset>
40000094 <__call_software_reset_cpu>:
40000094: 2f14806f j 40048b84 <software_reset_cpu>
40000098 <__call_assist_debug_clock_enable>:
40000098: 3834706f j 40047c1a <assist_debug_clock_enable>
4000009c <__call_assist_debug_record_enable>:
4000009c: 3954706f j 40047c30 <assist_debug_record_enable>
400000a0 <__call_clear_super_wdt_reset_flag>:
400000a0: 2b94806f j 40048b58 <clear_super_wdt_reset_flag>
400000a4 <__call_disable_default_watchdog>:
400000a4: 3974706f j 40047c3a <disable_default_watchdog>
400000a8 <__call_send_packet>:
400000a8: 4f84c06f j 4004c5a0 <send_packet>
400000ac <__call_recv_packet>:
400000ac: 63a4c06f j 4004c6e6 <recv_packet>
400000b0 <__call_GetUartDevice>:
400000b0: 0294c06f j 4004c8d8 <GetUartDevice>
400000b4 <__call_UartDwnLdProc>:
400000b4: 2654b06f j 4004bb18 <UartDwnLdProc>
400000b8 <__call_Uart_Init>:
400000b8: 1a84c06f j 4004c260 <Uart_Init>
400000bc <__call_ets_set_user_start>:
400000bc: 3254706f j 40047be0 <ets_set_user_start>
400000c0 <__call_mz_adler32>:
400000c0: 4303406f j 400344f0 <mz_adler32>
400000c4 <__call_mz_crc32>:
400000c4: 4e03406f j 400345a4 <mz_crc32>
400000c8 <__call_mz_free>:
400000c8: 5243406f j 400345ec <mz_free>
400000cc <__call_tdefl_compress>:
400000cc: 6b83506f j 40035784 <tdefl_compress>
400000d0 <__call_tdefl_compress_buffer>:
400000d0: 6b33506f j 40035f82 <tdefl_compress_buffer>
400000d4 <__call_tdefl_compress_mem_to_heap>:
400000d4: 7db3506f j 400360ae <tdefl_compress_mem_to_heap>
400000d8 <__call_tdefl_compress_mem_to_mem>:
400000d8: 7e53506f j 400360bc <tdefl_compress_mem_to_mem>
400000dc <__call_tdefl_compress_mem_to_output>:
400000dc: 7cf3506f j 400360aa <tdefl_compress_mem_to_output>
400000e0 <__call_tdefl_get_adler32>:
400000e0: 7c73506f j 400360a6 <tdefl_get_adler32>
400000e4 <__call_tdefl_get_prev_return_status>:
400000e4: 7bf3506f j 400360a2 <tdefl_get_prev_return_status>
400000e8 <__call_tdefl_init>:
400000e8: 6b33506f j 40035f9a <tdefl_init>
400000ec <__call_tdefl_write_image_to_png_file_in_memory>:
400000ec: 7dd3506f j 400360c8 <tdefl_write_image_to_png_file_in_memory>
400000f0 <__call_tdefl_write_image_to_png_file_in_memory_ex>:
400000f0: 7d13506f j 400360c0 <tdefl_write_image_to_png_file_in_memory_ex>
400000f4 <__call_tinfl_decompress>:
400000f4: 4fa3406f j 400345ee <tinfl_decompress>
400000f8 <__call_tinfl_decompress_mem_to_callback>:
400000f8: 6883506f j 40035780 <tinfl_decompress_mem_to_callback>
400000fc <__call_tinfl_decompress_mem_to_heap>:
400000fc: 5aa3506f j 400356a6 <tinfl_decompress_mem_to_heap>
40000100 <__call_tinfl_decompress_mem_to_mem>:
40000100: 6243506f j 40035724 <tinfl_decompress_mem_to_mem>
40000104 <__call_jd_prepare>:
40000104: 4a24a06f j 4004a5a6 <jd_prepare>
40000108 <__call_jd_decomp>:
40000108: 12b4a06f j 4004aa32 <jd_decomp>
4000010c <__call_esp_rom_spiflash_wait_idle>:
4000010c: 6384d06f j 4004d744 <esp_rom_spiflash_wait_idle>
40000110 <__call_esp_rom_spiflash_write_encrypted>:
40000110: 6884f06f j 4004f798 <esp_rom_spiflash_write_encrypted>
40000114 <__call_esp_rom_spiflash_write_encrypted_dest>:
40000114: 5384f06f j 4004f64c <esp_rom_spiflash_write_encrypted_dest>
40000118 <__call_esp_rom_spiflash_write_encrypted_enable>:
40000118: 5184f06f j 4004f630 <esp_rom_spiflash_write_encrypted_enable>
4000011c <__call_esp_rom_spiflash_write_encrypted_disable>:
4000011c: 5244f06f j 4004f640 <esp_rom_spiflash_write_encrypted_disable>
40000120 <__call_esp_rom_spiflash_erase_chip>:
40000120: 47b4d06f j 4004dd9a <esp_rom_spiflash_erase_chip>
40000124 <__call_esp_rom_spiflash_erase_block>:
40000124: 4a34d06f j 4004ddc6 <esp_rom_spiflash_erase_block>
40000128 <__call_esp_rom_spiflash_erase_sector>:
40000128: 5174d06f j 4004de3e <esp_rom_spiflash_erase_sector>
4000012c <__call_esp_rom_spiflash_write>:
4000012c: 58b4d06f j 4004deb6 <esp_rom_spiflash_write>
40000130 <__call_esp_rom_spiflash_read>:
40000130: 6654d06f j 4004df94 <esp_rom_spiflash_read>
40000134 <__call_esp_rom_spiflash_config_param>:
40000134: 0fa4e06f j 4004e22e <esp_rom_spiflash_config_param>
40000138 <__call_esp_rom_spiflash_read_user_cmd>:
40000138: 7954c06f j 4004d0cc <esp_rom_spiflash_read_user_cmd>
4000013c <__call_esp_rom_spiflash_select_qio_pins>:
4000013c: 3534c06f j 4004cc8e <esp_rom_spiflash_select_qio_pins>
40000140 <__call_esp_rom_spiflash_unlock>:
40000140: 2414d06f j 4004db80 <esp_rom_spiflash_unlock>
40000144 <__call_esp_rom_spi_flash_auto_sus_res>:
40000144: 1564e06f j 4004e29a <esp_rom_spi_flash_auto_sus_res>
40000148 <__call_esp_rom_spi_flash_send_resume>:
40000148: 10a4e06f j 4004e252 <esp_rom_spi_flash_send_resume>
4000014c <__call_esp_rom_spi_flash_update_id>:
4000014c: 1c74d06f j 4004db12 <esp_rom_spi_flash_update_id>
40000150 <__call_esp_rom_spiflash_config_clk>:
40000150: 3fb4d06f j 4004dd4a <esp_rom_spiflash_config_clk>
40000154 <__call_esp_rom_spiflash_config_readmode>:
40000154: 2d54d06f j 4004dc28 <esp_rom_spiflash_config_readmode>
40000158 <__call_esp_rom_spiflash_read_status>:
40000158: 7e54c06f j 4004d13c <esp_rom_spiflash_read_status>
4000015c <__call_esp_rom_spiflash_read_statushigh>:
4000015c: 1c44d06f j 4004d320 <esp_rom_spiflash_read_statushigh>
40000160 <__call_esp_rom_spiflash_write_status>:
40000160: 1ec4d06f j 4004d34c <esp_rom_spiflash_write_status>
40000164 <__call_spi_flash_attach>:
40000164: 3794d06f j 4004dcdc <spi_flash_attach>
40000168 <__call_spi_flash_get_chip_size>:
40000168: 4705006f j 400505d8 <spi_flash_get_chip_size>
4000016c <__call_spi_flash_guard_set>:
4000016c: 49c5006f j 40050608 <spi_flash_guard_set>
40000170 <__call_spi_flash_guard_get>:
40000170: 4a25006f j 40050612 <spi_flash_guard_get>
40000174 <__call_spi_flash_write_config_set>:
40000174: 4705006f j 400505e4 <spi_flash_write_config_set>
40000178 <__call_spi_flash_write_config_get>:
40000178: 4865006f j 400505fe <spi_flash_write_config_get>
4000017c <__call_spi_flash_safe_write_address_func_set>:
4000017c: 4a05006f j 4005061c <spi_flash_safe_write_address_func_set>
40000180 <__call_spi_flash_unlock>:
40000180: 4a65006f j 40050626 <spi_flash_unlock>
40000184 <__call_spi_flash_erase_range>:
40000184: 5625006f j 400506e6 <spi_flash_erase_range>
40000188 <__call_spi_flash_erase_sector>:
40000188: 6c25006f j 4005084a <spi_flash_erase_sector>
4000018c <__call_spi_flash_write>:
4000018c: 6d05006f j 4005085c <spi_flash_write>
40000190 <__call_spi_flash_read>:
40000190: 0f75006f j 40050a86 <spi_flash_read>
40000194 <__call_spi_flash_write_encrypted>:
40000194: 38b5006f j 40050d1e <spi_flash_write_encrypted>
40000198 <__call_spi_flash_read_encrypted>:
40000198: 44f5006f j 40050de6 <spi_flash_read_encrypted>
4000019c <__call_spi_flash_mmap_os_func_set>:
4000019c: 49f4f06f j 4004fe3a <spi_flash_mmap_os_func_set>
400001a0 <__call_spi_flash_mmap_page_num_init>:
400001a0: 4ad4f06f j 4004fe4c <spi_flash_mmap_page_num_init>
400001a4 <__call_spi_flash_mmap>:
400001a4: 7214f06f j 400500c4 <spi_flash_mmap>
400001a8 <__call_spi_flash_mmap_pages>:
400001a8: 4e14f06f j 4004fe88 <spi_flash_mmap_pages>
400001ac <__call_spi_flash_munmap>:
400001ac: 7e34f06f j 4005018e <spi_flash_munmap>
400001b0 <__call_spi_flash_mmap_dump>:
400001b0: 0b25006f j 40050262 <spi_flash_mmap_dump>
400001b4 <__call_spi_flash_check_and_flush_cache>:
400001b4: 2da5006f j 4005048e <spi_flash_check_and_flush_cache>
400001b8 <__call_spi_flash_mmap_get_free_pages>:
400001b8: 1265006f j 400502de <spi_flash_mmap_get_free_pages>
400001bc <__call_spi_flash_cache2phys>:
400001bc: 18e5006f j 4005034a <spi_flash_cache2phys>
400001c0 <__call_spi_flash_phys2cache>:
400001c0: 22a5006f j 400503ea <spi_flash_phys2cache>
400001c4 <__call_spi_flash_disable_cache>:
400001c4: 4214e06f j 4004ede4 <spi_flash_disable_cache>
400001c8 <__call_spi_flash_restore_cache>:
400001c8: 43b4e06f j 4004ee02 <spi_flash_restore_cache>
400001cc <__call_spi_flash_cache_enabled>:
400001cc: 43f4e06f j 4004ee0a <spi_flash_cache_enabled>
400001d0 <__call_spi_flash_enable_cache>:
400001d0: 4454e06f j 4004ee14 <spi_flash_enable_cache>
400001d4 <__call_spi_cache_mode_switch>:
400001d4: 63e4d06f j 4004d812 <spi_cache_mode_switch>
400001d8 <__call_spi_common_set_dummy_output>:
400001d8: 00b4d06f j 4004d9e2 <spi_common_set_dummy_output>
400001dc <__call_spi_common_set_flash_cs_timing>:
400001dc: 7c04d06f j 4004d99c <spi_common_set_flash_cs_timing>
400001e0 <__call_esp_enable_cache_flash_wrap>:
400001e0: 4414e06f j 4004ee20 <esp_enable_cache_flash_wrap>
400001e4 <__call_SPIEraseArea>:
400001e4: 77f4d06f j 4004e162 <SPIEraseArea>
400001e8 <__call_SPILock>:
400001e8: 1f74d06f j 4004dbde <SPILock>
400001ec <__call_SPIMasterReadModeCnfig>:
400001ec: 2f74d06f j 4004dce2 <SPIMasterReadModeCnfig>
400001f0 <__call_SPI_Common_Command>:
400001f0: 1b44d06f j 4004d3a4 <SPI_Common_Command>
400001f4 <__call_SPI_WakeUp>:
400001f4: 7d54c06f j 4004d1c8 <SPI_WakeUp>
400001f8 <__call_SPI_block_erase>:
400001f8: 0d44d06f j 4004d2cc <SPI_block_erase>
400001fc <__call_SPI_chip_erase>:
400001fc: 02c4d06f j 4004d228 <SPI_chip_erase>
40000200 <__call_SPI_init>:
40000200: 0034d06f j 4004da02 <SPI_init>
40000204 <__call_SPI_page_program>:
40000204: 41c4d06f j 4004d620 <SPI_page_program>
40000208 <__call_SPI_read_data>:
40000208: 6114c06f j 4004d018 <SPI_read_data>
4000020c <__call_SPI_sector_erase>:
4000020c: 0624d06f j 4004d26e <SPI_sector_erase>
40000210 <__call_SPI_write_enable>:
40000210: 3ae4d06f j 4004d5be <SPI_write_enable>
40000214 <__call_SelectSpiFunction>:
40000214: 45f4c06f j 4004ce72 <SelectSpiFunction>
40000218 <__call_SetSpiDrvs>:
40000218: 31b4c06f j 4004cd32 <SetSpiDrvs>
4000021c <__call_Wait_SPI_Idle>:
4000021c: 7e34c06f j 4004d1fe <Wait_SPI_Idle>
40000220 <__call_spi_dummy_len_fix>:
40000220: 2274c06f j 4004cc46 <spi_dummy_len_fix>
40000224 <__call_Disable_QMode>:
40000224: 59e4d06f j 4004d7c2 <Disable_QMode>
40000228 <__call_Enable_QMode>:
40000228: 5484d06f j 4004d770 <Enable_QMode>
4000022c <__call_spi_flash_hal_poll_cmd_done>:
4000022c: 6764f06f j 4004f8a2 <spi_flash_hal_poll_cmd_done>
40000230 <__call_spi_flash_hal_device_config>:
40000230: 69a4f06f j 4004f8ca <spi_flash_hal_device_config>
40000234 <__call_spi_flash_hal_configure_host_io_mode>:
40000234: 6ee4f06f j 4004f922 <spi_flash_hal_configure_host_io_mode>
40000238 <__call_spi_flash_hal_common_command>:
40000238: 07d4f06f j 4004fab4 <spi_flash_hal_common_command>
4000023c <__call_spi_flash_hal_read>:
4000023c: 1714f06f j 4004fbac <spi_flash_hal_read>
40000240 <__call_spi_flash_hal_erase_chip>:
40000240: 1e34f06f j 4004fc22 <spi_flash_hal_erase_chip>
40000244 <__call_spi_flash_hal_erase_sector>:
40000244: 1f54f06f j 4004fc38 <spi_flash_hal_erase_sector>
40000248 <__call_spi_flash_hal_erase_block>:
40000248: 22d4f06f j 4004fc74 <spi_flash_hal_erase_block>
4000024c <__call_spi_flash_hal_program_page>:
4000024c: 2614f06f j 4004fcac <spi_flash_hal_program_page>
40000250 <__call_spi_flash_hal_set_write_protect>:
40000250: 2c74f06f j 4004fd16 <spi_flash_hal_set_write_protect>
40000254 <__call_spi_flash_hal_host_idle>:
40000254: 2e94f06f j 4004fd3c <spi_flash_hal_host_idle>
40000258 <__call_spi_flash_chip_generic_probe>:
40000258: 5594e06f j 4004efb0 <spi_flash_chip_generic_probe>
4000025c <__call_spi_flash_chip_generic_detect_size>:
4000025c: 5b14e06f j 4004f00c <spi_flash_chip_generic_detect_size>
40000260 <__call_spi_flash_chip_generic_write>:
40000260: 6fd4e06f j 4004f15c <spi_flash_chip_generic_write>
40000264 <__call_spi_flash_chip_generic_write_encrypted>:
40000264: 7a54e06f j 4004f208 <spi_flash_chip_generic_write_encrypted>
40000268 <__call_spi_flash_chip_generic_set_write_protect>:
40000268: 7a74e06f j 4004f20e <spi_flash_chip_generic_set_write_protect>
4000026c <__call_spi_flash_common_write_status_16b_wrsr>:
4000026c: 4b34e06f j 4004ef1e <spi_flash_common_write_status_16b_wrsr>
40000270 <__call_spi_flash_chip_generic_reset>:
40000270: 5454e06f j 4004efb4 <spi_flash_chip_generic_reset>
40000274 <__call_spi_flash_chip_generic_erase_chip>:
40000274: 5bf4e06f j 4004f032 <spi_flash_chip_generic_erase_chip>
40000278 <__call_spi_flash_chip_generic_erase_sector>:
40000278: 5ff4e06f j 4004f076 <spi_flash_chip_generic_erase_sector>
4000027c <__call_spi_flash_chip_generic_erase_block>:
4000027c: 6494e06f j 4004f0c4 <spi_flash_chip_generic_erase_block>
40000280 <__call_spi_flash_chip_generic_page_program>:
40000280: 6934e06f j 4004f112 <spi_flash_chip_generic_page_program>
40000284 <__call_spi_flash_chip_generic_get_write_protect>:
40000284: 7d14e06f j 4004f254 <spi_flash_chip_generic_get_write_protect>
40000288 <__call_spi_flash_common_read_status_16b_rdsr_rdsr2>:
40000288: 4e74e06f j 4004ef6e <spi_flash_common_read_status_16b_rdsr_rdsr2>
4000028c <__call_spi_flash_chip_generic_read_reg>:
4000028c: 08e4f06f j 4004f31a <spi_flash_chip_generic_read_reg>
40000290 <__call_spi_flash_chip_generic_yield>:
40000290: 0964f06f j 4004f326 <spi_flash_chip_generic_yield>
40000294 <__call_spi_flash_generic_wait_host_idle>:
40000294: 0104f06f j 4004f2a4 <spi_flash_generic_wait_host_idle>
40000298 <__call_spi_flash_chip_generic_wait_idle>:
40000298: 0c64f06f j 4004f35e <spi_flash_chip_generic_wait_idle>
4000029c <__call_spi_flash_chip_generic_config_host_io_mode>:
4000029c: 13a4f06f j 4004f3d6 <spi_flash_chip_generic_config_host_io_mode>
400002a0 <__call_spi_flash_chip_generic_read>:
400002a0: 1e24f06f j 4004f482 <spi_flash_chip_generic_read>
400002a4 <__call_spi_flash_common_read_status_8b_rdsr2>:
400002a4: 29c4f06f j 4004f540 <spi_flash_common_read_status_8b_rdsr2>
400002a8 <__call_spi_flash_chip_generic_get_io_mode>:
400002a8: 2a44f06f j 4004f54c <spi_flash_chip_generic_get_io_mode>
400002ac <__call_spi_flash_common_read_status_8b_rdsr>:
400002ac: 2c04f06f j 4004f56c <spi_flash_common_read_status_8b_rdsr>
400002b0 <__call_spi_flash_common_write_status_8b_wrsr>:
400002b0: 2c64f06f j 4004f576 <spi_flash_common_write_status_8b_wrsr>
400002b4 <__call_spi_flash_common_write_status_8b_wrsr2>:
400002b4: 2ce4f06f j 4004f582 <spi_flash_common_write_status_8b_wrsr2>
400002b8 <__call_spi_flash_common_set_io_mode>:
400002b8: 2d84f06f j 4004f590 <spi_flash_common_set_io_mode>
400002bc <__call_spi_flash_chip_generic_set_io_mode>:
400002bc: 35c4f06f j 4004f618 <spi_flash_chip_generic_set_io_mode>
400002c0 <__call_spi_flash_chip_gd_get_io_mode>:
400002c0: 4014e06f j 4004eec0 <spi_flash_chip_gd_get_io_mode>
400002c4 <__call_spi_flash_chip_gd_probe>:
400002c4: 3934e06f j 4004ee56 <spi_flash_chip_gd_probe>
400002c8 <__call_spi_flash_chip_gd_set_io_mode>:
400002c8: 3b14e06f j 4004ee78 <spi_flash_chip_gd_set_io_mode>
400002cc <__call_memspi_host_read_id_hs>:
400002cc: 6c24c06f j 4004c98e <memspi_host_read_id_hs>
400002d0 <__call_memspi_host_read_status_hs>:
400002d0: 72a4c06f j 4004c9fa <memspi_host_read_status_hs>
400002d4 <__call_memspi_host_flush_cache>:
400002d4: 76a4c06f j 4004ca3e <memspi_host_flush_cache>
400002d8 <__call_memspi_host_erase_chip>:
400002d8: 78e4c06f j 4004ca66 <memspi_host_erase_chip>
400002dc <__call_memspi_host_erase_sector>:
400002dc: 7b64c06f j 4004ca92 <memspi_host_erase_sector>
400002e0 <__call_memspi_host_erase_block>:
400002e0: 7ec4c06f j 4004cacc <memspi_host_erase_block>
400002e4 <__call_memspi_host_program_page>:
400002e4: 0234c06f j 4004cb06 <memspi_host_program_page>
400002e8 <__call_memspi_host_read>:
400002e8: 0674c06f j 4004cb4e <memspi_host_read>
400002ec <__call_memspi_host_set_write_protect>:
400002ec: 0ad4c06f j 4004cb98 <memspi_host_set_write_protect>
400002f0 <__call_memspi_host_set_max_read_len>:
400002f0: 0df4c06f j 4004cbce <memspi_host_set_max_read_len>
400002f4 <__call_memspi_host_read_data_slicer>:
400002f4: 11b4c06f j 4004cc0e <memspi_host_read_data_slicer>
400002f8 <__call_memspi_host_write_data_slicer>:
400002f8: 0e14c06f j 4004cbd8 <memspi_host_write_data_slicer>
400002fc <__call_esp_flash_chip_driver_initialized>:
400002fc: 1a04e06f j 4004e49c <esp_flash_chip_driver_initialized>
40000300 <__call_esp_flash_read_id>:
40000300: 1a44e06f j 4004e4a4 <esp_flash_read_id>
40000304 <__call_esp_flash_get_size>:
40000304: 2524e06f j 4004e556 <esp_flash_get_size>
40000308 <__call_esp_flash_erase_chip>:
40000308: 2b84e06f j 4004e5c0 <esp_flash_erase_chip>
4000030c <__call_esp_flash_erase_region>:
4000030c: 3464e06f j 4004e652 <esp_flash_erase_region>
40000310 <__call_esp_flash_get_chip_write_protect>:
40000310: 5204e06f j 4004e830 <esp_flash_get_chip_write_protect>
40000314 <__call_esp_flash_set_chip_write_protect>:
40000314: 5744e06f j 4004e888 <esp_flash_set_chip_write_protect>
40000318 <__call_esp_flash_get_protectable_regions>:
40000318: 5be4e06f j 4004e8d6 <esp_flash_get_protectable_regions>
4000031c <__call_esp_flash_get_protected_region>:
4000031c: 6064e06f j 4004e922 <esp_flash_get_protected_region>
40000320 <__call_esp_flash_set_protected_region>:
40000320: 6a64e06f j 4004e9c6 <esp_flash_set_protected_region>
40000324 <__call_esp_flash_read>:
40000324: 7564e06f j 4004ea7a <esp_flash_read>
40000328 <__call_esp_flash_write>:
40000328: 0614e06f j 4004eb88 <esp_flash_write>
4000032c <__call_esp_flash_write_encrypted>:
4000032c: 1ad4e06f j 4004ecd8 <esp_flash_write_encrypted>
40000330 <__call_esp_flash_read_encrypted>:
40000330: 1df4e06f j 4004ed0e <esp_flash_read_encrypted>
40000334 <__call_esp_flash_get_io_mode>:
40000334: 1fb4e06f j 4004ed2e <esp_flash_get_io_mode>
40000338 <__call_esp_flash_set_io_mode>:
40000338: 2574e06f j 4004ed8e <esp_flash_set_io_mode>
4000033c <__call_spi_flash_boot_attach>:
4000033c: 16d4d06f j 4004dca8 <spi_flash_boot_attach>
40000340 <__call_spi_flash_dump_counters>:
40000340: 2485006f j 40050588 <spi_flash_dump_counters>
40000344 <__call_spi_flash_get_counters>:
40000344: 2285006f j 4005056c <spi_flash_get_counters>
40000348 <__call_spi_flash_op_counters_config>:
40000348: 21a5006f j 40050562 <spi_flash_op_counters_config>
4000034c <__call_spi_flash_reset_counters>:
4000034c: 22a5006f j 40050576 <spi_flash_reset_counters>
40000350 <__call_esp_rom_newlib_init_common_mutexes>:
40000350: 2be5206f j 4005260e <esp_rom_newlib_init_common_mutexes>
40000354 <__call_memset>:
40000354: 58a5806f j 400588de <memset>
40000358 <__call_memcpy>:
40000358: 4625806f j 400587ba <memcpy>
4000035c <__call_memmove>:
4000035c: 5145806f j 40058870 <memmove>
40000360 <__call_memcmp>:
40000360: 4125806f j 40058772 <memcmp>
40000364 <__call_strcpy>:
40000364: 1cb5806f j 40058d2e <strcpy>
40000368 <__call_strncpy>:
40000368: 46b5806f j 40058fd2 <strncpy>
4000036c <__call_strcmp>:
4000036c: 0a15806f j 40058c0c <strcmp>
40000370 <__call_strncmp>:
40000370: 4375806f j 40058fa6 <strncmp>
40000374 <__call_strlen>:
40000374: 3195806f j 40058e8c <strlen>
40000378 <__call_strstr>:
40000378: 55b5806f j 400590d2 <strstr>
4000037c <__call_bzero>:
4000037c: 2005806f j 4005857c <bzero>
40000380 <__call__isatty_r>:
40000380: 26a5206f j 400525ea <_isatty_r>
40000384 <__call_sbrk>:
40000384: 6115806f j 40059194 <sbrk>
40000388 <__call_isalnum>:
40000388: 2c85806f j 40058650 <isalnum>
4000038c <__call_isalpha>:
4000038c: 2d65806f j 40058662 <isalpha>
40000390 <__call_isascii>:
40000390: 2e45806f j 40058674 <isascii>
40000394 <__call_isblank>:
40000394: 2e65806f j 4005867a <isblank>
40000398 <__call_iscntrl>:
40000398: 3005806f j 40058698 <iscntrl>
4000039c <__call_isdigit>:
4000039c: 3105806f j 400586ac <isdigit>
400003a0 <__call_islower>:
400003a0: 31e5806f j 400586be <islower>
400003a4 <__call_isgraph>:
400003a4: 3325806f j 400586d6 <isgraph>
400003a8 <__call_isprint>:
400003a8: 3405806f j 400586e8 <isprint>
400003ac <__call_ispunct>:
400003ac: 3505806f j 400586fc <ispunct>
400003b0 <__call_isspace>:
400003b0: 35e5806f j 4005870e <isspace>
400003b4 <__call_isupper>:
400003b4: 36c5806f j 40058720 <isupper>
400003b8 <__call_toupper>:
400003b8: 6135806f j 400591ca <toupper>
400003bc <__call_tolower>:
400003bc: 5f35806f j 400591ae <tolower>
400003c0 <__call_toascii>:
400003c0: 5e95806f j 400591a8 <toascii>
400003c4 <__call_memccpy>:
400003c4: 3745806f j 40058738 <memccpy>
400003c8 <__call_memchr>:
400003c8: 3905806f j 40058758 <memchr>
400003cc <__call_memrchr>:
400003cc: 4ee5806f j 400588ba <memrchr>
400003d0 <__call_strcasecmp>:
400003d0: 72a5806f j 40058afa <strcasecmp>
400003d4 <__call_strcasestr>:
400003d4: 76a5806f j 40058b3e <strcasestr>
400003d8 <__call_strcat>:
400003d8: 7fa5806f j 40058bd2 <strcat>
400003dc <__call_strdup>:
400003dc: 1d75806f j 40058db2 <strdup>
400003e0 <__call_strchr>:
400003e0: 0135806f j 40058bf2 <strchr>
400003e4 <__call_strcspn>:
400003e4: 1ad5806f j 40058d90 <strcspn>
400003e8 <__call_strcoll>:
400003e8: 1435806f j 40058d2a <strcoll>
400003ec <__call_strlcat>:
400003ec: 20f5806f j 40058dfa <strlcat>
400003f0 <__call_strlcpy>:
400003f0: 25f5806f j 40058e4e <strlcpy>
400003f4 <__call_strlwr>:
400003f4: 3015806f j 40058ef4 <strlwr>
400003f8 <__call_strncasecmp>:
400003f8: 32b5806f j 40058f22 <strncasecmp>
400003fc <__call_strncat>:
400003fc: 37d5806f j 40058f78 <strncat>
40000400 <__call_strndup>:
40000400: 3f75806f j 40058ff6 <strndup>
40000404 <__call_strnlen>:
40000404: 45b5806f j 4005905e <strnlen>
40000408 <__call_strrchr>:
40000408: 46f5806f j 40059076 <strrchr>
4000040c <__call_strsep>:
4000040c: 49d5806f j 400590a8 <strsep>
40000410 <__call_strspn>:
40000410: 4a15806f j 400590b0 <strspn>
40000414 <__call_strtok_r>:
40000414: 5515806f j 40059164 <strtok_r>
40000418 <__call_strupr>:
40000418: 5515806f j 40059168 <strupr>
4000041c <__call_longjmp>:
4000041c: 5643106f j 40031980 <longjmp>
40000420 <__call_setjmp>:
40000420: 5283106f j 40031948 <setjmp>
40000424 <__call_abs>:
40000424: 5983106f j 400319bc <abs>
40000428 <__call_div>:
40000428: 59e3106f j 400319c6 <div>
4000042c <__call_labs>:
4000042c: 5c23106f j 400319ee <labs>
40000430 <__call_ldiv>:
40000430: 5c83106f j 400319f8 <ldiv>
40000434 <__call_qsort>:
40000434: 6883106f j 40031abc <qsort>
40000438 <__call_rand_r>:
40000438: 66e5806f j 40058aa6 <rand_r>
4000043c <__call_rand>:
4000043c: 5c05806f j 400589fc <rand>
40000440 <__call_srand>:
40000440: 5465806f j 40058986 <srand>
40000444 <__call_utoa>:
40000444: 5023106f j 40031946 <utoa>
40000448 <__call_itoa>:
40000448: 1633106f j 40031daa <itoa>
4000044c <__call_atoi>:
4000044c: 1613106f j 40031dac <atoi>
40000450 <__call_atol>:
40000450: 1693106f j 40031db8 <atol>
40000454 <__call_strtol>:
40000454: 2d53106f j 40031f28 <strtol>
40000458 <__call_strtoul>:
40000458: 42f3106f j 40032086 <strtoul>
4000045c <__call_fflush>:
4000045c: 4453206f j 400330a0 <fflush>
40000460 <__call__fflush_r>:
40000460: 39f3206f j 40032ffe <_fflush_r>
40000464 <__call__fwalk>:
40000464: 11e5806f j 40058582 <_fwalk>
40000468 <__call__fwalk_reent>:
40000468: 17c5806f j 400585e4 <_fwalk_reent>
4000046c <__call___smakebuf_r>:
4000046c: 1973206f j 40032e02 <__smakebuf_r>
40000470 <__call___swhatbuf_r>:
40000470: 1173206f j 40032d86 <__swhatbuf_r>
40000474 <__call___swbuf_r>:
40000474: 7283206f j 40032b9c <__swbuf_r>
40000478 <__call___swbuf>:
40000478: 7e63206f j 40032c5e <__swbuf>
4000047c <__call___swsetup_r>:
4000047c: 7fc3206f j 40032c78 <__swsetup_r>
40000480 <__call___sprint_r>:
40000480: 5513106f j 400321d0 <__sprint_r>
40000484 <__call__fiprintf_r>:
40000484: 4973106f j 4003211a <_fiprintf_r>
40000488 <__call__fprintf_r>:
40000488: 4933106f j 4003211a <_fiprintf_r>
4000048c <__call__printf_common>:
4000048c: 0863206f j 40032512 <_printf_common>
40000490 <__call__printf_i>:
40000490: 18e3206f j 4003261e <_printf_i>
40000494 <__call__vfiprintf_r>:
40000494: 5633106f j 400321f6 <_vfiprintf_r>
40000498 <__call__vfprintf_r>:
40000498: 55f3106f j 400321f6 <_vfiprintf_r>
4000049c <__call_fiprintf>:
4000049c: 4993106f j 40032134 <fiprintf>
400004a0 <__call_fprintf>:
400004a0: 4953106f j 40032134 <fiprintf>
400004a4 <__call_printf>:
400004a4: 4393106f j 400320dc <iprintf>
400004a8 <__call_vfiprintf>:
400004a8: 04a3206f j 400324f2 <vfiprintf>
400004ac <__call_vfprintf>:
400004ac: 0463206f j 400324f2 <vfiprintf>
400004b0 <__call_Cache_Get_ICache_Line_Size>:
400004b0: 19d5006f j 40050e4c <Cache_Get_ICache_Line_Size>
400004b4 <__call_Cache_Get_Mode>:
400004b4: 19f5006f j 40050e52 <Cache_Get_Mode>
400004b8 <__call_Cache_Address_Through_IBus>:
400004b8: 1c55006f j 40050e7c <Cache_Address_Through_IBus>
400004bc <__call_Cache_Address_Through_DBus>:
400004bc: 1d15006f j 40050e8c <Cache_Address_Through_DBus>
400004c0 <__call_Cache_Set_Default_Mode>:
400004c0: 1dd5006f j 40050e9c <Cache_Set_Default_Mode>
400004c4 <__call_Cache_Enable_Defalut_ICache_Mode>:
400004c4: 2055006f j 40050ec8 <Cache_Enable_Defalut_ICache_Mode>
400004c8 <__call_ROM_Boot_Cache_Init>:
400004c8: 21f5006f j 40050ee6 <ROM_Boot_Cache_Init>
400004cc <__call_Cache_Invalidate_ICache_Items>:
400004cc: 25d5006f j 40050f28 <Cache_Invalidate_ICache_Items>
400004d0 <__call_Cache_Op_Addr>:
400004d0: 2875006f j 40050f56 <Cache_Op_Addr>
400004d4 <__call_Cache_Invalidate_Addr>:
400004d4: 3df5006f j 400510b2 <Cache_Invalidate_Addr>
400004d8 <__call_Cache_Invalidate_ICache_All>:
400004d8: 44f5006f j 40051126 <Cache_Invalidate_ICache_All>
400004dc <__call_Cache_Mask_All>:
400004dc: 45d5006f j 40051138 <Cache_Mask_All>
400004e0 <__call_Cache_UnMask_Dram0>:
400004e0: 4675006f j 40051146 <Cache_UnMask_Dram0>
400004e4 <__call_Cache_Suspend_ICache_Autoload>:
400004e4: 3055006f j 40050fe8 <Cache_Suspend_ICache_Autoload>
400004e8 <__call_Cache_Resume_ICache_Autoload>:
400004e8: 3b95006f j 400510a0 <Cache_Resume_ICache_Autoload>
400004ec <__call_Cache_Start_ICache_Preload>:
400004ec: 4675006f j 40051152 <Cache_Start_ICache_Preload>
400004f0 <__call_Cache_ICache_Preload_Done>:
400004f0: 4d15006f j 400511c0 <Cache_ICache_Preload_Done>
400004f4 <__call_Cache_End_ICache_Preload>:
400004f4: 4d75006f j 400511ca <Cache_End_ICache_Preload>
400004f8 <__call_Cache_Config_ICache_Autoload>:
400004f8: 4e15006f j 400511d8 <Cache_Config_ICache_Autoload>
400004fc <__call_Cache_Enable_ICache_Autoload>:
400004fc: 5875006f j 40051282 <Cache_Enable_ICache_Autoload>
40000500 <__call_Cache_Disable_ICache_Autoload>:
40000500: 5915006f j 40051290 <Cache_Disable_ICache_Autoload>
40000504 <__call_Cache_Enable_ICache_PreLock>:
40000504: 5af5006f j 400512b2 <Cache_Enable_ICache_PreLock>
40000508 <__call_Cache_Disable_ICache_PreLock>:
40000508: 5eb5006f j 400512f2 <Cache_Disable_ICache_PreLock>
4000050c <__call_Cache_Lock_ICache_Items>:
4000050c: 2fd5006f j 40051008 <Cache_Lock_ICache_Items>
40000510 <__call_Cache_Unlock_ICache_Items>:
40000510: 3215006f j 40051030 <Cache_Unlock_ICache_Items>
40000514 <__call_Cache_Lock_Addr>:
40000514: 5f15006f j 40051304 <Cache_Lock_Addr>
40000518 <__call_Cache_Unlock_Addr>:
40000518: 65f5006f j 40051376 <Cache_Unlock_Addr>
4000051c <__call_Cache_Disable_ICache>:
4000051c: 6cd5006f j 400513e8 <Cache_Disable_ICache>
40000520 <__call_Cache_Enable_ICache>:
40000520: 7175006f j 40051436 <Cache_Enable_ICache>
40000524 <__call_Cache_Suspend_ICache>:
40000524: 7235006f j 40051446 <Cache_Suspend_ICache>
40000528 <__call_Cache_Resume_ICache>:
40000528: 76f5006f j 40051496 <Cache_Resume_ICache>
4000052c <__call_Cache_Freeze_ICache_Enable>:
4000052c: 32d5006f j 40051058 <Cache_Freeze_ICache_Enable>
40000530 <__call_Cache_Freeze_ICache_Disable>:
40000530: 3595006f j 40051088 <Cache_Freeze_ICache_Disable>
40000534 <__call_Cache_Pms_Lock>:
40000534: 7835006f j 400514b6 <Cache_Pms_Lock>
40000538 <__call_Cache_Ibus_Pms_Set_Addr>:
40000538: 7a15006f j 400514d8 <Cache_Ibus_Pms_Set_Addr>
4000053c <__call_Cache_Ibus_Pms_Set_Attr>:
4000053c: 0025106f j 4005153e <Cache_Ibus_Pms_Set_Attr>
40000540 <__call_Cache_Dbus_Pms_Set_Addr>:
40000540: 0265106f j 40051566 <Cache_Dbus_Pms_Set_Addr>
40000544 <__call_Cache_Dbus_Pms_Set_Attr>:
40000544: 0885106f j 400515cc <Cache_Dbus_Pms_Set_Attr>
40000548 <__call_Cache_Set_IDROM_MMU_Size>:
40000548: 0a85106f j 400515f0 <Cache_Set_IDROM_MMU_Size>
4000054c <__call_Cache_Get_IROM_MMU_End>:
4000054c: 0d45106f j 40051620 <Cache_Get_IROM_MMU_End>
40000550 <__call_Cache_Get_DROM_MMU_End>:
40000550: 0da5106f j 4005162a <Cache_Get_DROM_MMU_End>
40000554 <__call_Cache_Owner_Init>:
40000554: 0ea5106f j 4005163e <Cache_Owner_Init>
40000558 <__call_Cache_Occupy_ICache_MEMORY>:
40000558: 0f85106f j 40051650 <Cache_Occupy_ICache_MEMORY>
4000055c <__call_Cache_MMU_Init>:
4000055c: 1145106f j 40051670 <Cache_MMU_Init>
40000560 <__call_Cache_Ibus_MMU_Set>:
40000560: 1265106f j 40051686 <Cache_Ibus_MMU_Set>
40000564 <__call_Cache_Dbus_MMU_Set>:
40000564: 1f25106f j 40051756 <Cache_Dbus_MMU_Set>
40000568 <__call_Cache_Count_Flash_Pages>:
40000568: 2be5106f j 40051826 <Cache_Count_Flash_Pages>
4000056c <__call_Cache_Travel_Tag_Memory>:
4000056c: 31a5106f j 40051886 <Cache_Travel_Tag_Memory>
40000570 <__call_Cache_Get_Virtual_Addr>:
40000570: 40c5106f j 4005197c <Cache_Get_Virtual_Addr>
40000574 <__call_Cache_Get_Memory_BaseAddr>:
40000574: 4325106f j 400519a6 <Cache_Get_Memory_BaseAddr>
40000578 <__call_Cache_Get_Memory_Addr>:
40000578: 4405106f j 400519b8 <Cache_Get_Memory_Addr>
4000057c <__call_Cache_Get_Memory_value>:
4000057c: 47a5106f j 400519f6 <Cache_Get_Memory_value>
40000580 <__call_ets_get_apb_freq>:
40000580: 2e64906f j 40049866 <ets_get_apb_freq>
40000584 <__call_ets_get_cpu_frequency>:
40000584: 56f4506f j 400462f2 <ets_get_cpu_frequency>
40000588 <__call_ets_update_cpu_frequency>:
40000588: 5614506f j 400462e8 <ets_update_cpu_frequency>
4000058c <__call_ets_get_printf_channel>:
4000058c: 1b64806f j 40048742 <ets_get_printf_channel>
40000590 <__call_ets_get_xtal_div>:
40000590: 28c4906f j 4004981c <ets_get_xtal_div>
40000594 <__call_ets_set_xtal_div>:
40000594: 2a24906f j 40049836 <ets_set_xtal_div>
40000598 <__call_ets_get_xtal_freq>:
40000598: 2b44906f j 4004984c <ets_get_xtal_freq>
4000059c <__call_gpio_input_get>:
4000059c: 4865106f j 40051a22 <gpio_input_get>
400005a0 <__call_gpio_matrix_in>:
400005a0: 4f45106f j 40051a94 <gpio_matrix_in>
400005a4 <__call_gpio_matrix_out>:
400005a4: 5145106f j 40051ab8 <gpio_matrix_out>
400005a8 <__call_gpio_output_disable>:
400005a8: 5565106f j 40051afe <gpio_output_disable>
400005ac <__call_gpio_output_enable>:
400005ac: 53a5106f j 40051ae6 <gpio_output_enable>
400005b0 <__call_gpio_output_set>:
400005b0: 4645106f j 40051a14 <gpio_output_set>
400005b4 <__call_gpio_pad_hold>:
400005b4: 6745106f j 40051c28 <gpio_pad_hold>
400005b8 <__call_gpio_pad_input_disable>:
400005b8: 6145106f j 40051bcc <gpio_pad_input_disable>
400005bc <__call_gpio_pad_input_enable>:
400005bc: 5f45106f j 40051bb0 <gpio_pad_input_enable>
400005c0 <__call_gpio_pad_pulldown>:
400005c0: 5cc5106f j 40051b8c <gpio_pad_pulldown>
400005c4 <__call_gpio_pad_pullup>:
400005c4: 5a45106f j 40051b68 <gpio_pad_pullup>
400005c8 <__call_gpio_pad_select_gpio>:
400005c8: 5525106f j 40051b1a <gpio_pad_select_gpio>
400005cc <__call_gpio_pad_set_drv>:
400005cc: 5705106f j 40051b3c <gpio_pad_set_drv>
400005d0 <__call_gpio_pad_unhold>:
400005d0: 6185106f j 40051be8 <gpio_pad_unhold>
400005d4 <__call_gpio_pin_wakeup_disable>:
400005d4: 4885106f j 40051a5c <gpio_pin_wakeup_disable>
400005d8 <__call_gpio_pin_wakeup_enable>:
400005d8: 4525106f j 40051a2a <gpio_pin_wakeup_enable>
400005dc <__call_gpio_bypass_matrix_in>:
400005dc: 4a25106f j 40051a7e <gpio_bypass_matrix_in>
400005e0 <__call_esprv_intc_int_set_priority>:
400005e0: 3b25206f j 40052992 <esprv_intc_int_set_priority>
400005e4 <__call_esprv_intc_int_set_threshold>:
400005e4: 3be5206f j 400529a2 <esprv_intc_int_set_threshold>
400005e8 <__call_esprv_intc_int_enable>:
400005e8: 3665206f j 4005294e <esprv_intc_int_enable>
400005ec <__call_esprv_intc_int_disable>:
400005ec: 3725206f j 4005295e <esprv_intc_int_disable>
400005f0 <__call_esprv_intc_int_set_type>:
400005f0: 3825206f j 40052972 <esprv_intc_int_set_type>
400005f4 <__call_intr_matrix_set>:
400005f4: 4764706f j 40047a6a <intr_matrix_set>
400005f8 <__call_ets_intr_lock>:
400005f8: 4364706f j 40047a2e <ets_intr_lock>
400005fc <__call_ets_intr_unlock>:
400005fc: 4464706f j 40047a42 <ets_intr_unlock>
40000600 <__call_intr_handler_set>:
40000600: 31e5206f j 4005291e <intr_handler_set>
40000604 <__call_ets_isr_attach>:
40000604: 45a4706f j 40047a5e <ets_isr_attach>
40000608 <__call_ets_isr_mask>:
40000608: 45a4706f j 40047a62 <ets_isr_mask>
4000060c <__call_ets_isr_unmask>:
4000060c: 45a4706f j 40047a66 <ets_isr_unmask>
40000610 <__call_md5_vector>:
40000610: 54c3606f j 40036b5c <md5_vector>
40000614 <__call_MD5Init>:
40000614: 3c43606f j 400369d8 <MD5Init>
40000618 <__call_MD5Update>:
40000618: 3f23606f j 40036a0a <MD5Update>
4000061c <__call_MD5Final>:
4000061c: 4b63606f j 40036ad2 <MD5Final>
40000620 <__call_hmac_md5_vector>:
40000620: 5903606f j 40036bb0 <hmac_md5_vector>
40000624 <__call_hmac_md5>:
40000624: 6bc3606f j 40036ce0 <hmac_md5>
40000628 <__call_crc32_le>:
40000628: 4734906f j 4004a29a <crc32_le>
4000062c <__call_crc32_be>:
4000062c: 4a34906f j 4004a2ce <crc32_be>
40000630 <__call_crc16_le>:
40000630: 4d54906f j 4004a304 <crc16_le>
40000634 <__call_crc16_be>:
40000634: 50f4906f j 4004a342 <crc16_be>
40000638 <__call_crc8_le>:
40000638: 54f4906f j 4004a386 <crc8_le>
4000063c <__call_crc8_be>:
4000063c: 57f4906f j 4004a3ba <crc8_be>
40000640 <__call_esp_crc8>:
40000640: 5af4906f j 4004a3ee <esp_crc8>
40000644 <__call_ets_sha_enable>:
40000644: 6994806f j 400494dc <ets_sha_enable>
40000648 <__call_ets_sha_disable>:
40000648: 6ab4806f j 400494f2 <ets_sha_disable>
4000064c <__call_ets_sha_get_state>:
4000064c: 7054806f j 40049550 <ets_sha_get_state>
40000650 <__call_ets_sha_init>:
40000650: 6b74806f j 40049506 <ets_sha_init>
40000654 <__call_ets_sha_process>:
40000654: 76b4806f j 400495be <ets_sha_process>
40000658 <__call_ets_sha_starts>:
40000658: 6e94806f j 40049540 <ets_sha_starts>
4000065c <__call_ets_sha_update>:
4000065c: 7eb4806f j 40049646 <ets_sha_update>
40000660 <__call_ets_sha_finish>:
40000660: 0f64906f j 40049756 <ets_sha_finish>
40000664 <__call_ets_sha_clone>:
40000664: 72d4806f j 40049590 <ets_sha_clone>
40000668 <__call_ets_hmac_enable>:
40000668: 2264706f j 4004788e <ets_hmac_enable>
4000066c <__call_ets_hmac_disable>:
4000066c: 2324706f j 4004789e <ets_hmac_disable>
40000670 <__call_ets_hmac_calculate_message>:
40000670: 23c4706f j 400478ac <ets_hmac_calculate_message>
40000674 <__call_ets_hmac_calculate_downstream>:
40000674: 3724706f j 400479e6 <ets_hmac_calculate_downstream>
40000678 <__call_ets_hmac_invalidate_downstream>:
40000678: 3844706f j 400479fc <ets_hmac_invalidate_downstream>
4000067c <__call_ets_jtag_enable_temporarily>:
4000067c: 4fc4606f j 40046b78 <ets_jtag_enable_temporarily>
40000680 <__call_ets_aes_enable>:
40000680: 0b74506f j 40045f36 <ets_aes_enable>
40000684 <__call_ets_aes_disable>:
40000684: 0c74506f j 40045f4a <ets_aes_disable>
40000688 <__call_ets_aes_setkey>:
40000688: 0d74506f j 40045f5e <ets_aes_setkey>
4000068c <__call_ets_aes_block>:
4000068c: 1234506f j 40045fae <ets_aes_block>
40000690 <__call_ets_bigint_enable>:
40000690: 3134506f j 400461a2 <ets_bigint_enable>
40000694 <__call_ets_bigint_disable>:
40000694: 3374506f j 400461ca <ets_bigint_disable>
40000698 <__call_ets_bigint_multiply>:
40000698: 34f4506f j 400461e6 <ets_bigint_multiply>
4000069c <__call_ets_bigint_modmult>:
4000069c: 3bd4506f j 40046258 <ets_bigint_modmult>
400006a0 <__call_ets_bigint_modexp>:
400006a0: 3d14506f j 40046270 <ets_bigint_modexp>
400006a4 <__call_ets_bigint_wait_finish>:
400006a4: 3e54506f j 40046288 <ets_bigint_wait_finish>
400006a8 <__call_ets_bigint_getz>:
400006a8: 3f54506f j 4004629c <ets_bigint_getz>
400006ac <__call_ets_ds_enable>:
400006ac: 46b4506f j 40046316 <ets_ds_enable>
400006b0 <__call_ets_ds_disable>:
400006b0: 47b4506f j 4004632a <ets_ds_disable>
400006b4 <__call_ets_ds_start_sign>:
400006b4: 4994506f j 4004634c <ets_ds_start_sign>
400006b8 <__call_ets_ds_is_busy>:
400006b8: 4874506f j 4004633e <ets_ds_is_busy>
400006bc <__call_ets_ds_finish_sign>:
400006bc: 5554506f j 40046410 <ets_ds_finish_sign>
400006c0 <__call_ets_ds_encrypt_params>:
400006c0: 5af4506f j 4004646e <ets_ds_encrypt_params>
400006c4 <__call_ets_aes_setkey_dec>:
400006c4: 0e34506f j 40045fa6 <ets_aes_setkey_dec>
400006c8 <__call_ets_aes_setkey_enc>:
400006c8: 0d74506f j 40045f9e <ets_aes_setkey_enc>
400006cc <__call_ets_mgf1_sha256>:
400006cc: 0804806f j 4004874c <ets_mgf1_sha256>
400006d0 <__call_ets_efuse_read>:
400006d0: 0904606f j 40046760 <ets_efuse_read>
400006d4 <__call_ets_efuse_program>:
400006d4: 1004606f j 400467d4 <ets_efuse_program>
400006d8 <__call_ets_efuse_clear_program_registers>:
400006d8: 0ca4606f j 400467a2 <ets_efuse_clear_program_registers>
400006dc <__call_ets_efuse_write_key>:
400006dc: 2c44606f j 400469a0 <ets_efuse_write_key>
400006e0 <__call_ets_efuse_get_read_register_address>:
400006e0: 1484606f j 40046828 <ets_efuse_get_read_register_address>
400006e4 <__call_ets_efuse_get_key_purpose>:
400006e4: 1604606f j 40046844 <ets_efuse_get_key_purpose>
400006e8 <__call_ets_efuse_key_block_unused>:
400006e8: 1fa4606f j 400468e2 <ets_efuse_key_block_unused>
400006ec <__call_ets_efuse_find_unused_key_block>:
400006ec: 2624606f j 4004694e <ets_efuse_find_unused_key_block>
400006f0 <__call_ets_efuse_rs_calculate>:
400006f0: 6cc4606f j 40046dbc <ets_efuse_rs_calculate>
400006f4 <__call_ets_efuse_count_unused_key_blocks>:
400006f4: 2804606f j 40046974 <ets_efuse_count_unused_key_blocks>
400006f8 <__call_ets_efuse_secure_boot_enabled>:
400006f8: 6124606f j 40046d0a <ets_efuse_secure_boot_enabled>
400006fc <__call_ets_efuse_secure_boot_aggressive_revoke_enabled>:
400006fc: 61c4606f j 40046d18 <ets_efuse_secure_boot_aggressive_revoke_enabled>
40000700 <__call_ets_efuse_cache_encryption_enabled>:
40000700: 6264606f j 40046d26 <ets_efuse_cache_encryption_enabled>
40000704 <__call_ets_efuse_download_modes_disabled>:
40000704: 5184606f j 40046c1c <ets_efuse_download_modes_disabled>
40000708 <__call_ets_efuse_find_purpose>:
40000708: 1a04606f j 400468a8 <ets_efuse_find_purpose>
4000070c <__call_ets_efuse_flash_opi_5pads_power_sel_vddspi>:
4000070c: 5b84606f j 40046cc4 <ets_efuse_flash_opi_5pads_power_sel_vddspi>
40000710 <__call_ets_efuse_force_send_resume>:
40000710: 5c24606f j 40046cd2 <ets_efuse_force_send_resume>
40000714 <__call_ets_efuse_get_flash_delay_us>:
40000714: 6564606f j 40046d6a <ets_efuse_get_flash_delay_us>
40000718 <__call_ets_efuse_get_mac>:
40000718: 66e4606f j 40046d86 <ets_efuse_get_mac>
4000071c <__call_ets_efuse_get_spiconfig>:
4000071c: 4224606f j 40046b3e <ets_efuse_get_spiconfig>
40000720 <__call_ets_efuse_usb_print_is_disabled>:
40000720: 52c4606f j 40046c4c <ets_efuse_usb_print_is_disabled>
40000724 <__call_ets_efuse_get_uart_print_channel>:
40000724: 5124606f j 40046c36 <ets_efuse_get_uart_print_channel>
40000728 <__call_ets_efuse_get_uart_print_control>:
40000728: 5004606f j 40046c28 <ets_efuse_get_uart_print_control>
4000072c <__call_ets_efuse_get_wp_pad>:
4000072c: 3d44606f j 40046b00 <ets_efuse_get_wp_pad>
40000730 <__call_ets_efuse_legacy_spi_boot_mode_disabled>:
40000730: 6124606f j 40046d42 <ets_efuse_legacy_spi_boot_mode_disabled>
40000734 <__call_ets_efuse_security_download_modes_enabled>:
40000734: 55e4606f j 40046c92 <ets_efuse_security_download_modes_enabled>
40000738 <__call_ets_efuse_set_timing>:
40000738: 0104606f j 40046748 <ets_efuse_set_timing>
4000073c <__call_ets_efuse_jtag_disabled>:
4000073c: 4a44606f j 40046be0 <ets_efuse_jtag_disabled>
40000740 <__call_ets_efuse_usb_download_mode_disabled>:
40000740: 52a4606f j 40046c6a <ets_efuse_usb_download_mode_disabled>
40000744 <__call_ets_efuse_usb_module_disabled>:
40000744: 4f64606f j 40046c3a <ets_efuse_usb_module_disabled>
40000748 <__call_ets_efuse_usb_device_disabled>:
40000748: 4f64606f j 40046c3e <ets_efuse_usb_device_disabled>
4000074c <__call_ets_emsa_pss_verify>:
4000074c: 0a84806f j 400487f4 <ets_emsa_pss_verify>
40000750 <__call_ets_rsa_pss_verify>:
40000750: 1f44806f j 40048944 <ets_rsa_pss_verify>
40000754 <__call_ets_secure_boot_verify_bootloader_with_keys>:
40000754: 19f4806f j 400490f2 <ets_secure_boot_verify_bootloader_with_keys>
40000758 <__call_ets_secure_boot_verify_signature>:
40000758: 0fd4806f j 40049054 <ets_secure_boot_verify_signature>
4000075c <__call_ets_secure_boot_read_key_digests>:
4000075c: 5e44806f j 40048d40 <ets_secure_boot_read_key_digests>
40000760 <__call_ets_secure_boot_revoke_public_key_digest>:
40000760: 6b44806f j 40048e14 <ets_secure_boot_revoke_public_key_digest>
40000764 <__call___absvdi2>:
40000764: 6083606f j 40036d6c <__absvdi2>
40000768 <__call___absvsi2>:
40000768: 5e83606f j 40036d50 <__absvsi2>
4000076c <__call___adddf3>:
4000076c: 38d5306f j 400542f8 <__adddf3>
40000770 <__call___addsf3>:
40000770: 20c5606f j 4005697c <__addsf3>
40000774 <__call___addvdi3>:
40000774: 4b45206f j 40052c28 <__addvdi3>
40000778 <__call___addvsi3>:
40000778: 4885206f j 40052c00 <__addvsi3>
4000077c <__call___ashldi3>:
4000077c: 4145206f j 40052b90 <__ashldi3>
40000780 <__call___ashrdi3>:
40000780: 4485206f j 40052bc8 <__ashrdi3>
40000784 <__call___bswapdi2>:
40000784: 0243806f j 400387a8 <__bswapdi2>
40000788 <__call___bswapsi2>:
40000788: 7ed3706f j 40038774 <__bswapsi2>
4000078c <__call___clear_cache>:
4000078c: 5c03606f j 40036d4c <__clear_cache>
40000790 <__call___clrsbdi2>:
40000790: 0e03806f j 40038870 <__clrsbdi2>
40000794 <__call___clrsbsi2>:
40000794: 0783806f j 4003880c <__clrsbsi2>
40000798 <__call___clzdi2>:
40000798: 0255206f j 40052fbc <__clzdi2>
4000079c <__call___clzsi2>:
4000079c: 7d45206f j 40052f70 <__clzsi2>
400007a0 <__call___cmpdi2>:
400007a0: 55c3606f j 40036cfc <__cmpdi2>
400007a4 <__call___ctzdi2>:
400007a4: 0cd5206f j 40053070 <__ctzdi2>
400007a8 <__call___ctzsi2>:
400007a8: 0795206f j 40053020 <__ctzsi2>
400007ac <__call___divdc3>:
400007ac: 0453706f j 40037ff0 <__divdc3>
400007b0 <__call___divdf3>:
400007b0: 3245406f j 40054ad4 <__divdf3>
400007b4 <__call___divdi3>:
400007b4: 2295206f j 400531dc <__divdi3>
400007b8 <__call___divsc3>:
400007b8: 36c3706f j 40037b24 <__divsc3>
400007bc <__call___divsf3>:
400007bc: 5fc5606f j 40056db8 <__divsf3>
400007c0 <__call___divsi3>:
400007c0: 5095706f j 400584c8 <__divsi3>
400007c4 <__call___eqdf2>:
400007c4: 1f95406f j 400551bc <__eqdf2>
400007c8 <__call___eqsf2>:
400007c8: 12d5606f j 400570f4 <__eqsf2>
400007cc <__call___extendsfdf2>:
400007cc: 1d95706f j 400581a4 <__extendsfdf2>
400007d0 <__call___ffsdi2>:
400007d0: 7345206f j 40052f04 <__ffsdi2>
400007d4 <__call___ffssi2>:
400007d4: 6d85206f j 40052eac <__ffssi2>
400007d8 <__call___fixdfdi>:
400007d8: 4c55506f j 4005649c <__fixdfdi>
400007dc <__call___fixdfsi>:
400007dc: 2595506f j 40056234 <__fixdfsi>
400007e0 <__call___fixsfdi>:
400007e0: 5b85706f j 40057d98 <__fixsfdi>
400007e4 <__call___fixsfsi>:
400007e4: 2c45706f j 40057aa8 <__fixsfsi>
400007e8 <__call___fixunsdfsi>:
400007e8: 2cd5506f j 400562b4 <__fixunsdfsi>
400007ec <__call___fixunssfdi>:
400007ec: 65c5706f j 40057e48 <__fixunssfdi>
400007f0 <__call___fixunssfsi>:
400007f0: 3285706f j 40057b18 <__fixunssfsi>
400007f4 <__call___floatdidf>:
400007f4: 57d5506f j 40056570 <__floatdidf>
400007f8 <__call___floatdisf>:
400007f8: 6d05706f j 40057ec8 <__floatdisf>
400007fc <__call___floatsidf>:
400007fc: 3355506f j 40056330 <__floatsidf>
40000800 <__call___floatsisf>:
40000800: 37c5706f j 40057b7c <__floatsisf>
40000804 <__call___floatundidf>:
40000804: 7955506f j 40056798 <__floatundidf>
40000808 <__call___floatundisf>:
40000808: 0495706f j 40058050 <__floatundisf>
4000080c <__call___floatunsidf>:
4000080c: 3ed5506f j 400563f8 <__floatunsidf>
40000810 <__call___floatunsisf>:
40000810: 4905706f j 40057ca0 <__floatunsisf>
40000814 <__call___gcc_bcmp>:
40000814: 0e03806f j 400388f4 <__gcc_bcmp>
40000818 <__call___gedf2>:
40000818: 2315406f j 40055248 <__gedf2>
4000081c <__call___gesf2>:
4000081c: 1455606f j 40057160 <__gesf2>
40000820 <__call___gtdf2>:
40000820: 2295406f j 40055248 <__gedf2>
40000824 <__call___gtsf2>:
40000824: 13d5606f j 40057160 <__gesf2>
40000828 <__call___ledf2>:
40000828: 3095406f j 40055330 <__ledf2>
4000082c <__call___lesf2>:
4000082c: 1f15606f j 4005721c <__lesf2>
40000830 <__call___lshrdi3>:
40000830: 3285206f j 40052b58 <__lshrdi3>
40000834 <__call___ltdf2>:
40000834: 2fd5406f j 40055330 <__ledf2>
40000838 <__call___ltsf2>:
40000838: 1e55606f j 4005721c <__lesf2>
4000083c <__call___moddi3>:
4000083c: 6215206f j 4005365c <__moddi3>
40000840 <__call___modsi3>:
40000840: 50d5706f j 4005854c <__modsi3>
40000844 <__call___muldc3>:
40000844: 3b53606f j 400373f8 <__muldc3>
40000848 <__call___muldf3>:
40000848: 3d15406f j 40055418 <__muldf3>
4000084c <__call___muldi3>:
4000084c: 40d5706f j 40058458 <__muldi3>
40000850 <__call___mulsc3>:
40000850: 6e03606f j 40036f30 <__mulsc3>
40000854 <__call___mulsf3>:
40000854: 2855606f j 400572d8 <__mulsf3>
40000858 <__call___mulsi3>:
40000858: 3dd5706f j 40058434 <__mulsi3>
4000085c <__call___mulvdi3>:
4000085c: 4c05206f j 40052d1c <__mulvdi3>
40000860 <__call___mulvsi3>:
40000860: 4985206f j 40052cf8 <__mulvsi3>
40000864 <__call___nedf2>:
40000864: 1595406f j 400551bc <__eqdf2>
40000868 <__call___negdf2>:
40000868: 1885506f j 400559f0 <__negdf2>
4000086c <__call___negdi2>:
4000086c: 2d45206f j 40052b40 <__negdi2>
40000870 <__call___negsf2>:
40000870: 5755606f j 400575e4 <__negsf2>
40000874 <__call___negvdi2>:
40000874: 5f05206f j 40052e64 <__negvdi2>
40000878 <__call___negvsi2>:
40000878: 5c45206f j 40052e3c <__negvsi2>
4000087c <__call___nesf2>:
4000087c: 0795606f j 400570f4 <__eqsf2>
40000880 <__call___paritysi2>:
40000880: 12d5206f j 400531ac <__paritysi2>
40000884 <__call___popcountdi2>:
40000884: 0a95206f j 4005312c <__popcountdi2>
40000888 <__call___popcountsi2>:
40000888: 0515206f j 400530d8 <__popcountsi2>
4000088c <__call___powidf2>:
4000088c: 5b43606f j 40036e40 <__powidf2>
40000890 <__call___powisf2>:
40000890: 5043606f j 40036d94 <__powisf2>
40000894 <__call___subdf3>:
40000894: 1745506f j 40055a08 <__subdf3>
40000898 <__call___subsf3>:
40000898: 5715606f j 40057608 <__subsf3>
4000089c <__call___subvdi3>:
4000089c: 4085206f j 40052ca4 <__subvdi3>
400008a0 <__call___subvsi3>:
400008a0: 3dc5206f j 40052c7c <__subvsi3>
400008a4 <__call___truncdfsf2>:
400008a4: 1fd5706f j 400582a0 <__truncdfsf2>
400008a8 <__call___ucmpdi2>:
400008a8: 47c3606f j 40036d24 <__ucmpdi2>
400008ac <__call___udivdi3>:
400008ac: 2085306f j 40053ab4 <__udivdi3>
400008b0 <__call___udivmoddi4>:
400008b0: 07c3806f j 4003892c <__udivmoddi4>
400008b4 <__call___udivsi3>:
400008b4: 41d5706f j 400584d0 <__udivsi3>
400008b8 <__call___udiv_w_sdiv>:
400008b8: 06c3806f j 40038924 <__udiv_w_sdiv>
400008bc <__call___umoddi3>:
400008bc: 62c5306f j 40053ee8 <__umoddi3>
400008c0 <__call___umodsi3>:
400008c0: 4595706f j 40058518 <__umodsi3>
400008c4 <__call___unorddf2>:
400008c4: 1255506f j 400561e8 <__unorddf2>
400008c8 <__call___unordsf2>:
400008c8: 19c5706f j 40057a64 <__unordsf2>
400008cc <__call_usb_uart_rx_one_char>:
400008cc: 0aa4c06f j 4004c976 <usb_uart_rx_one_char>
400008d0 <__call_usb_uart_rx_one_char_block>:
400008d0: 0944c06f j 4004c964 <usb_uart_rx_one_char_block>
400008d4 <__call_usb_uart_tx_flush>:
400008d4: 0864c06f j 4004c95a <usb_uart_tx_flush>
400008d8 <__call_usb_uart_tx_one_char>:
400008d8: 00a4c06f j 4004c8e2 <usb_uart_tx_one_char>
400008dc <__call_bt_rf_coex_get_dft_cfg>:
400008dc: 5e42d06f j 4002dec0 <bt_rf_coex_get_dft_cfg>
400008e0 <__call_bt_rf_coex_hooks_p_set>:
400008e0: 59e2d06f j 4002de7e <bt_rf_coex_hooks_p_set>
400008e4 <__call_btdm_con_maxevtime_cal_impl>:
400008e4: 1eb1806f j 400192ce <btdm_con_maxevtime_cal_impl>
400008e8 <__call_btdm_controller_get_compile_version_impl>:
400008e8: 5ae0206f j 40002e96 <btdm_controller_get_compile_version_impl>
400008ec <__call_btdm_controller_rom_data_init>:
400008ec: 3aa0206f j 40002c96 <btdm_controller_rom_data_init>
400008f0 <__call_btdm_dis_privacy_err_report_impl>:
400008f0: 2b82006f j 40020ba8 <btdm_dis_privacy_err_report_impl>
400008f4 <__call_btdm_disable_adv_delay_impl>:
400008f4: 3be1606f j 40016cb2 <btdm_disable_adv_delay_impl>
400008f8 <__call_btdm_enable_scan_continue_impl>:
400008f8: 3951f06f j 4002048c <btdm_enable_scan_continue_impl>
400008fc <__call_btdm_enable_scan_forever_impl>:
400008fc: 4b62606f j 40026db2 <btdm_enable_scan_forever_impl>
40000900 <__call_btdm_get_power_state_impl>:
40000900: 5192e06f j 4002f618 <btdm_get_power_state_impl>
40000904 <__call_btdm_get_prevent_sleep_flag_impl>:
40000904: 51f2e06f j 4002f622 <btdm_get_prevent_sleep_flag_impl>
40000908 <__call_btdm_power_state_active_impl>:
40000908: 5032e06f j 4002f60a <btdm_power_state_active_impl>
4000090c <__call_btdm_switch_phy_coded_impl>:
4000090c: 3331f06f j 4002043e <btdm_switch_phy_coded_impl>
40000910 <__call_hci_acl_data_handler>:
40000910: 21d0f06f j 4001032c <hci_acl_data_handler>
40000914 <__call_hci_disconnect_cmd_handler>:
40000914: 6570c06f j 4000d76a <hci_disconnect_cmd_handler>
40000918 <__call_hci_le_con_upd_cmd_handler>:
40000918: 7b70b06f j 4000c8ce <hci_le_con_upd_cmd_handler>
4000091c <__call_hci_le_ltk_req_neg_reply_cmd_handler>:
4000091c: 50b0e06f j 4000f626 <hci_le_ltk_req_neg_reply_cmd_handler>
40000920 <__call_hci_le_ltk_req_reply_cmd_handler>:
40000920: 4470e06f j 4000f566 <hci_le_ltk_req_reply_cmd_handler>
40000924 <__call_hci_le_rd_chnl_map_cmd_handler>:
40000924: 3910a06f j 4000b4b4 <hci_le_rd_chnl_map_cmd_handler>
40000928 <__call_hci_le_rd_phy_cmd_handler>:
40000928: 2841206f j 40012bac <hci_le_rd_phy_cmd_handler>
4000092c <__call_hci_le_rd_rem_feats_cmd_handler>:
4000092c: 4980f06f j 4000fdc4 <hci_le_rd_rem_feats_cmd_handler>
40000930 <__call_hci_le_rem_con_param_req_neg_reply_cmd_handler>:
40000930: 2360c06f j 4000cb66 <hci_le_rem_con_param_req_neg_reply_cmd_handler>
40000934 <__call_hci_le_rem_con_param_req_reply_cmd_handler>:
40000934: 14e0c06f j 4000ca82 <hci_le_rem_con_param_req_reply_cmd_handler>
40000938 <__call_hci_le_set_data_len_cmd_handler>:
40000938: 7f60d06f j 4000e12e <hci_le_set_data_len_cmd_handler>
4000093c <__call_hci_le_set_phy_cmd_handler>:
4000093c: 3081206f j 40012c44 <hci_le_set_phy_cmd_handler>
40000940 <__call_hci_le_start_enc_cmd_handler>:
40000940: 2ef0e06f j 4000f42e <hci_le_start_enc_cmd_handler>
40000944 <__call_hci_rd_auth_payl_to_cmd_handler>:
40000944: 60f0f06f j 40010752 <hci_rd_auth_payl_to_cmd_handler>
40000948 <__call_hci_rd_rem_ver_info_cmd_handler>:
40000948: 2491206f j 40013390 <hci_rd_rem_ver_info_cmd_handler>
4000094c <__call_hci_rd_rssi_cmd_handler>:
4000094c: 70e0f06f j 4001005a <hci_rd_rssi_cmd_handler>
40000950 <__call_hci_rd_tx_pwr_lvl_cmd_handler>:
40000950: 63a0f06f j 4000ff8a <hci_rd_tx_pwr_lvl_cmd_handler>
40000954 <__call_hci_vs_set_pref_slave_evt_dur_cmd_handler>:
40000954: 03f0f06f j 40010192 <hci_vs_set_pref_slave_evt_dur_cmd_handler>
40000958 <__call_hci_vs_set_pref_slave_latency_cmd_handler>:
40000958: 7c00f06f j 40010118 <hci_vs_set_pref_slave_latency_cmd_handler>
4000095c <__call_hci_wr_auth_payl_to_cmd_handler>:
4000095c: 68b0f06f j 400107e6 <hci_wr_auth_payl_to_cmd_handler>
40000960 <__call_ll_channel_map_ind_handler>:
40000960: 1770a06f j 4000b2d6 <ll_channel_map_ind_handler>
40000964 <__call_ll_connection_param_req_handler>:
40000964: 5430b06f j 4000c6a6 <ll_connection_param_req_handler>
40000968 <__call_ll_connection_param_rsp_handler>:
40000968: 6990b06f j 4000c800 <ll_connection_param_rsp_handler>
4000096c <__call_ll_connection_update_ind_handler>:
4000096c: 3610b06f j 4000c4cc <ll_connection_update_ind_handler>
40000970 <__call_ll_enc_req_handler>:
40000970: 0090e06f j 4000f178 <ll_enc_req_handler>
40000974 <__call_ll_enc_rsp_handler>:
40000974: 12b0e06f j 4000f29e <ll_enc_rsp_handler>
40000978 <__call_ll_feature_req_handler>:
40000978: 2ca0f06f j 4000fc42 <ll_feature_req_handler>
4000097c <__call_ll_feature_rsp_handler>:
4000097c: 3b20f06f j 4000fd2e <ll_feature_rsp_handler>
40000980 <__call_ll_length_req_handler>:
40000980: 6560d06f j 4000dfd6 <ll_length_req_handler>
40000984 <__call_ll_length_rsp_handler>:
40000984: 6e00d06f j 4000e064 <ll_length_rsp_handler>
40000988 <__call_ll_min_used_channels_ind_handler>:
40000988: 2590a06f j 4000b3e0 <ll_min_used_channels_ind_handler>
4000098c <__call_ll_pause_enc_req_handler>:
4000098c: 6b40e06f j 4000f040 <ll_pause_enc_req_handler>
40000990 <__call_ll_pause_enc_rsp_handler>:
40000990: 75e0e06f j 4000f0ee <ll_pause_enc_rsp_handler>
40000994 <__call_ll_phy_req_handler>:
40000994: 7711106f j 40012904 <ll_phy_req_handler>
40000998 <__call_ll_phy_rsp_handler>:
40000998: 06a1206f j 40012a02 <ll_phy_rsp_handler>
4000099c <__call_ll_phy_update_ind_handler>:
4000099c: 1221206f j 40012abe <ll_phy_update_ind_handler>
400009a0 <__call_ll_ping_req_handler>:
400009a0: 5690f06f j 40010708 <ll_ping_req_handler>
400009a4 <__call_ll_ping_rsp_handler>:
400009a4: 5730f06f j 40010716 <ll_ping_rsp_handler>
400009a8 <__call_ll_slave_feature_req_handler>:
400009a8: 3100f06f j 4000fcb8 <ll_slave_feature_req_handler>
400009ac <__call_ll_start_enc_req_handler>:
400009ac: 19d0e06f j 4000f348 <ll_start_enc_req_handler>
400009b0 <__call_ll_start_enc_rsp_handler>:
400009b0: 20d0e06f j 4000f3bc <ll_start_enc_rsp_handler>
400009b4 <__call_ll_terminate_ind_handler>:
400009b4: 2ad0c06f j 4000d460 <ll_terminate_ind_handler>
400009b8 <__call_ll_version_ind_handler>:
400009b8: 14f1206f j 40013306 <ll_version_ind_handler>
400009bc <__call_llc_auth_payl_nearly_to_handler>:
400009bc: 7550f06f j 40010910 <llc_auth_payl_nearly_to_handler>
400009c0 <__call_llc_auth_payl_real_to_handler>:
400009c0: 7fb0f06f j 400109ba <llc_auth_payl_real_to_handler>
400009c4 <__call_llc_encrypt_ind_handler>:
400009c4: 5450e06f j 4000f708 <llc_encrypt_ind_handler>
400009c8 <__call_llc_hci_command_handler_wrapper>:
400009c8: 1570f06f j 4001031e <llc_hci_command_handler_wrapper>
400009cc <__call_llc_ll_connection_param_req_pdu_send>:
400009cc: 78b0a06f j 4000b956 <llc_ll_connection_param_req_pdu_send>
400009d0 <__call_llc_ll_connection_param_rsp_pdu_send>:
400009d0: 3600b06f j 4000bd30 <llc_ll_connection_param_rsp_pdu_send>
400009d4 <__call_llc_ll_connection_update_ind_pdu_send>:
400009d4: 7330a06f j 4000b906 <llc_ll_connection_update_ind_pdu_send>
400009d8 <__call_llc_ll_enc_req_pdu_send>:
400009d8: 3230d06f j 4000e4fa <llc_ll_enc_req_pdu_send>
400009dc <__call_llc_ll_enc_rsp_pdu_send>:
400009dc: 3770d06f j 4000e552 <llc_ll_enc_rsp_pdu_send>
400009e0 <__call_llc_ll_feature_req_pdu_send>:
400009e0: 78b0e06f j 4000f96a <llc_ll_feature_req_pdu_send>
400009e4 <__call_llc_ll_feature_rsp_pdu_send>:
400009e4: 7f10e06f j 4000f9d4 <llc_ll_feature_rsp_pdu_send>
400009e8 <__call_llc_ll_length_req_pdu_send>:
400009e8: 7610c06f j 4000d948 <llc_ll_length_req_pdu_send>
400009ec <__call_llc_ll_length_rsp_pdu_send>:
400009ec: 78f0c06f j 4000d97a <llc_ll_length_rsp_pdu_send>
400009f0 <__call_llc_ll_pause_enc_req_pdu_send>:
400009f0: 2c70d06f j 4000e4b6 <llc_ll_pause_enc_req_pdu_send>
400009f4 <__call_llc_ll_pause_enc_rsp_pdu_send>:
400009f4: 2e50d06f j 4000e4d8 <llc_ll_pause_enc_rsp_pdu_send>
400009f8 <__call_llc_ll_phy_req_pdu_send>:
400009f8: 3161106f j 40011d0e <llc_ll_phy_req_pdu_send>
400009fc <__call_llc_ll_phy_rsp_pdu_send>:
400009fc: 33c1106f j 40011d38 <llc_ll_phy_rsp_pdu_send>
40000a00 <__call_llc_ll_ping_req_pdu_send>:
40000a00: 3f50f06f j 400105f4 <llc_ll_ping_req_pdu_send>
40000a04 <__call_llc_ll_ping_rsp_pdu_send>:
40000a04: 4e30f06f j 400106e6 <llc_ll_ping_rsp_pdu_send>
40000a08 <__call_llc_ll_start_enc_req_pdu_send>:
40000a08: 3910d06f j 4000e598 <llc_ll_start_enc_req_pdu_send>
40000a0c <__call_llc_ll_start_enc_rsp_pdu_send>:
40000a0c: 3af0d06f j 4000e5ba <llc_ll_start_enc_rsp_pdu_send>
40000a10 <__call_llc_ll_terminate_ind_pdu_send>:
40000a10: 0c30c06f j 4000d2d2 <llc_ll_terminate_ind_pdu_send>
40000a14 <__call_llc_ll_unknown_rsp_pdu_send>:
40000a14: 1f01006f j 40010c04 <llc_ll_unknown_rsp_pdu_send>
40000a18 <__call_llc_llcp_ch_map_update_ind_pdu_send>:
40000a18: 6460a06f j 4000b05e <llc_llcp_ch_map_update_ind_pdu_send>
40000a1c <__call_llc_llcp_phy_upd_ind_pdu_send>:
40000a1c: 69c1106f j 400120b8 <llc_llcp_phy_upd_ind_pdu_send>
40000a20 <__call_llc_llcp_version_ind_pdu_send>:
40000a20: 6461206f j 40013066 <llc_llcp_version_ind_pdu_send>
40000a24 <__call_llc_op_ch_map_upd_ind_handler>:
40000a24: 3290a06f j 4000b54c <llc_op_ch_map_upd_ind_handler>
40000a28 <__call_llc_op_con_upd_ind_handler>:
40000a28: 1da0c06f j 4000cc02 <llc_op_con_upd_ind_handler>
40000a2c <__call_llc_op_disconnect_ind_handler>:
40000a2c: 4410c06f j 4000d66c <llc_op_disconnect_ind_handler>
40000a30 <__call_llc_op_dl_upd_ind_handler>:
40000a30: 06b0d06f j 4000e29a <llc_op_dl_upd_ind_handler>
40000a34 <__call_llc_op_encrypt_ind_handler>:
40000a34: 68b0e06f j 4000f8be <llc_op_encrypt_ind_handler>
40000a38 <__call_llc_op_feats_exch_ind_handler>:
40000a38: 4940f06f j 4000fecc <llc_op_feats_exch_ind_handler>
40000a3c <__call_llc_op_le_ping_ind_handler>:
40000a3c: 64b0f06f j 40010886 <llc_op_le_ping_ind_handler>
40000a40 <__call_llc_op_phy_upd_ind_handler>:
40000a40: 3dc1206f j 40012e1c <llc_op_phy_upd_ind_handler>
40000a44 <__call_llc_op_ver_exch_ind_handler>:
40000a44: 0051206f j 40013248 <llc_op_ver_exch_ind_handler>
40000a48 <__call_llc_stopped_ind_handler>:
40000a48: 3a50c06f j 4000d5ec <llc_stopped_ind_handler>
40000a4c <__call_lld_acl_rx_ind_handler>:
40000a4c: 2170f06f j 40010462 <lld_acl_rx_ind_handler>
40000a50 <__call_lld_acl_tx_cfm_handler>:
40000a50: 32b0f06f j 4001057a <lld_acl_tx_cfm_handler>
40000a54 <__call_lld_adv_end_ind_handler>:
40000a54: 4ea2a06f j 4002af3e <lld_adv_end_ind_handler>
40000a58 <__call_lld_adv_rep_ind_handler>:
40000a58: 1162c06f j 4002cb6e <lld_adv_rep_ind_handler>
40000a5c <__call_lld_ch_map_upd_cfm_handler>:
40000a5c: 4410a06f j 4000b69c <lld_ch_map_upd_cfm_handler>
40000a60 <__call_lld_con_estab_ind_handler>:
40000a60: 3ea0c06f j 4000ce4a <lld_con_estab_ind_handler>
40000a64 <__call_lld_con_evt_sd_evt_time_set>:
40000a64: 7041c06f j 4001d168 <lld_con_evt_sd_evt_time_set>
40000a68 <__call_lld_con_offset_upd_ind_handler>:
40000a68: 4de0c06f j 4000cf46 <lld_con_offset_upd_ind_handler>
40000a6c <__call_lld_con_param_upd_cfm_handler>:
40000a6c: 2ce0c06f j 4000cd3a <lld_con_param_upd_cfm_handler>
40000a70 <__call_lld_disc_ind_handler>:
40000a70: 25f0c06f j 4000d4ce <lld_disc_ind_handler>
40000a74 <__call_lld_init_end_ind_handler>:
40000a74: 7992a06f j 4002ba0c <lld_init_end_ind_handler>
40000a78 <__call_lld_llcp_rx_ind_handler_wrapper>:
40000a78: 6861006f j 400110fe <lld_llcp_rx_ind_handler_wrapper>
40000a7c <__call_lld_llcp_tx_cfm_handler>:
40000a7c: 6901006f j 4001110c <lld_llcp_tx_cfm_handler>
40000a80 <__call_lld_per_adv_end_ind_handler>:
40000a80: 4d32a06f j 4002b752 <lld_per_adv_end_ind_handler>
40000a84 <__call_lld_per_adv_rep_ind_handler>:
40000a84: 7e02c06f j 4002d264 <lld_per_adv_rep_ind_handler>
40000a88 <__call_lld_per_adv_rx_end_ind_handler>:
40000a88: 4572c06f j 4002d6de <lld_per_adv_rx_end_ind_handler>
40000a8c <__call_lld_phy_coded_500k_get>:
40000a8c: 1a51f06f j 40020430 <lld_phy_coded_500k_get>
40000a90 <__call_lld_phy_upd_cfm_handler>:
40000a90: 4b81206f j 40012f48 <lld_phy_upd_cfm_handler>
40000a94 <__call_lld_scan_end_ind_handler>:
40000a94: 6ad2c06f j 4002d940 <lld_scan_end_ind_handler>
40000a98 <__call_lld_scan_req_ind_handler>:
40000a98: 3f02a06f j 4002ae88 <lld_scan_req_ind_handler>
40000a9c <__call_lld_sync_start_req_handler>:
40000a9c: 6822c06f j 4002d11e <lld_sync_start_req_handler>
40000aa0 <__call_lld_test_end_ind_handler>:
40000aa0: 1162d06f j 4002dbb6 <lld_test_end_ind_handler>
40000aa4 <__call_lld_update_rxbuf_handler>:
40000aa4: 3492006f j 400215ec <lld_update_rxbuf_handler>
40000aa8 <__call_llm_ch_map_update_ind_handler>:
40000aa8: 33f0a06f j 4000b5e6 <llm_ch_map_update_ind_handler>
40000aac <__call_llm_hci_command_handler_wrapper>:
40000aac: 7532a06f j 4002b9fe <llm_hci_command_handler_wrapper>
40000ab0 <__call_llm_scan_period_to_handler>:
40000ab0: 7af2b06f j 4002ca5e <llm_scan_period_to_handler>
40000ab4 <__call_r_Add2SelfBigHex256>:
40000ab4: 4110306f j 400046c4 <r_Add2SelfBigHex256>
40000ab8 <__call_r_AddBigHex256>:
40000ab8: 3290306f j 400045e0 <r_AddBigHex256>
40000abc <__call_r_AddBigHexModP256>:
40000abc: 3790306f j 40004634 <r_AddBigHexModP256>
40000ac0 <__call_r_AddP256>:
40000ac0: 5090306f j 400047c8 <r_AddP256>
40000ac4 <__call_r_AddPdiv2_256>:
40000ac4: 5430306f j 40004806 <r_AddPdiv2_256>
40000ac8 <__call_r_GF_Jacobian_Point_Addition256>:
40000ac8: 4b80406f j 40004f80 <r_GF_Jacobian_Point_Addition256>
40000acc <__call_r_GF_Jacobian_Point_Double256>:
40000acc: 2a30406f j 4000556e <r_GF_Jacobian_Point_Double256>
40000ad0 <__call_r_GF_Point_Jacobian_To_Affine256>:
40000ad0: 5030406f j 400057d2 <r_GF_Point_Jacobian_To_Affine256>
40000ad4 <__call_r_MultiplyBigHexByUint32_256>:
40000ad4: 2b60406f j 40004d8a <r_MultiplyBigHexByUint32_256>
40000ad8 <__call_r_MultiplyBigHexModP256>:
40000ad8: 10e0406f j 40004be6 <r_MultiplyBigHexModP256>
40000adc <__call_r_MultiplyByU16ModP256>:
40000adc: 4280406f j 40004f04 <r_MultiplyByU16ModP256>
40000ae0 <__call_r_SubtractBigHex256>:
40000ae0: 4310306f j 40004710 <r_SubtractBigHex256>
40000ae4 <__call_r_SubtractBigHexMod256>:
40000ae4: 7250306f j 40004a08 <r_SubtractBigHexMod256>
40000ae8 <__call_r_SubtractBigHexUint32_256>:
40000ae8: 06e0406f j 40004b56 <r_SubtractBigHexUint32_256>
40000aec <__call_r_SubtractFromSelfBigHex256>:
40000aec: 4830306f j 4000476e <r_SubtractFromSelfBigHex256>
40000af0 <__call_r_SubtractFromSelfBigHexSign256>:
40000af0: 6370306f j 40004926 <r_SubtractFromSelfBigHexSign256>
40000af4 <__call_r_aes_alloc>:
40000af4: 6ef0106f j 400029e2 <r_aes_alloc>
40000af8 <__call_r_aes_ccm_continue>:
40000af8: 4bc0106f j 40001fb4 <r_aes_ccm_continue>
40000afc <__call_r_aes_ccm_process_e>:
40000afc: 5fc0106f j 400020f8 <r_aes_ccm_process_e>
40000b00 <__call_r_aes_ccm_xor_128_lsb>:
40000b00: 44c0106f j 40001f4c <_text_start_btdm_rom>
40000b04 <__call_r_aes_ccm_xor_128_msb>:
40000b04: 4800106f j 40001f84 <r_aes_ccm_xor_128_msb>
40000b08 <__call_r_aes_cmac_continue>:
40000b08: 1170106f j 4000241e <r_aes_cmac_continue>
40000b0c <__call_r_aes_cmac_start>:
40000b0c: 0c90106f j 400023d4 <r_aes_cmac_start>
40000b10 <__call_r_aes_k1_continue>:
40000b10: 2a90106f j 400025b8 <r_aes_k1_continue>
40000b14 <__call_r_aes_k2_continue>:
40000b14: 35d0106f j 40002670 <r_aes_k2_continue>
40000b18 <__call_r_aes_k3_continue>:
40000b18: 5150106f j 4000282c <r_aes_k3_continue>
40000b1c <__call_r_aes_k4_continue>:
40000b1c: 5b50106f j 400028d0 <r_aes_k4_continue>
40000b20 <__call_r_aes_shift_left_128>:
40000b20: 0f80206f j 40002c18 <r_aes_shift_left_128>
40000b24 <__call_r_aes_start>:
40000b24: 6ed0106f j 40002a10 <r_aes_start>
40000b28 <__call_r_aes_xor_128>:
40000b28: 0be0206f j 40002be6 <r_aes_xor_128>
40000b2c <__call_r_assert_err>:
40000b2c: 20a0206f j 40002d36 <r_assert_err>
40000b30 <__call_r_assert_param>:
40000b30: 2400206f j 40002d70 <r_assert_param>
40000b34 <__call_r_assert_warn>:
40000b34: 27a0206f j 40002dae <r_assert_warn>
40000b38 <__call_r_bigHexInversion256>:
40000b38: 4f10406f j 40005828 <r_bigHexInversion256>
40000b3c <__call_r_ble_sw_cca_check_isr>:
40000b3c: 2e52d06f j 4002e620 <r_ble_sw_cca_check_isr>
40000b40 <__call_r_ble_util_buf_acl_tx_alloc>:
40000b40: 7e20206f j 40003322 <r_ble_util_buf_acl_tx_alloc>
40000b44 <__call_r_ble_util_buf_acl_tx_elt_get>:
40000b44: 0bb0206f j 400033fe <r_ble_util_buf_acl_tx_elt_get>
40000b48 <__call_r_ble_util_buf_acl_tx_free>:
40000b48: 1090206f j 40003450 <r_ble_util_buf_acl_tx_free>
40000b4c <__call_r_ble_util_buf_acl_tx_free_in_isr>:
40000b4c: 1bf0206f j 4000350a <r_ble_util_buf_acl_tx_free_in_isr>
40000b50 <__call_r_ble_util_buf_adv_tx_alloc>:
40000b50: 2670206f j 400035b6 <r_ble_util_buf_adv_tx_alloc>
40000b54 <__call_r_ble_util_buf_adv_tx_free>:
40000b54: 2fb0206f j 4000364e <r_ble_util_buf_adv_tx_free>
40000b58 <__call_r_ble_util_buf_adv_tx_free_in_isr>:
40000b58: 3810206f j 400036d8 <r_ble_util_buf_adv_tx_free_in_isr>
40000b5c <__call_r_ble_util_buf_env_deinit>:
40000b5c: 36a0206f j 40002ec6 <r_ble_util_buf_env_deinit>
40000b60 <__call_r_ble_util_buf_env_init>:
40000b60: 3400206f j 40002ea0 <r_ble_util_buf_env_init>
40000b64 <__call_r_ble_util_buf_get_rx_buf_nb>:
40000b64: 38e0206f j 40002ef2 <r_ble_util_buf_get_rx_buf_nb>
40000b68 <__call_r_ble_util_buf_get_rx_buf_size>:
40000b68: 3980206f j 40002f00 <r_ble_util_buf_get_rx_buf_size>
40000b6c <__call_r_ble_util_buf_llcp_tx_alloc>:
40000b6c: 41c0206f j 40002f88 <r_ble_util_buf_llcp_tx_alloc>
40000b70 <__call_r_ble_util_buf_llcp_tx_free>:
40000b70: 4520206f j 40002fc2 <r_ble_util_buf_llcp_tx_free>
40000b74 <__call_r_ble_util_buf_rx_alloc>:
40000b74: 56c0206f j 400030e0 <r_ble_util_buf_rx_alloc>
40000b78 <__call_r_ble_util_buf_rx_alloc_in_isr>:
40000b78: 6b60206f j 4000322e <r_ble_util_buf_rx_alloc_in_isr>
40000b7c <__call_r_ble_util_buf_rx_free>:
40000b7c: 5e00206f j 4000315c <r_ble_util_buf_rx_free>
40000b80 <__call_r_ble_util_buf_rx_free_in_isr>:
40000b80: 72a0206f j 400032aa <r_ble_util_buf_rx_free_in_isr>
40000b84 <__call_r_ble_util_buf_set_rx_buf_nb>:
40000b84: 38a0206f j 40002f0e <r_ble_util_buf_set_rx_buf_nb>
40000b88 <__call_r_ble_util_buf_set_rx_buf_size>:
40000b88: 3c20206f j 40002f4a <r_ble_util_buf_set_rx_buf_size>
40000b8c <__call_r_ble_util_data_rx_buf_reset>:
40000b8c: 4b00206f j 4000303c <r_ble_util_data_rx_buf_reset>
40000b90 <__call_r_bt_bb_get_intr_mask>:
40000b90: 7290806f j 40009ab8 <r_bt_bb_get_intr_mask>
40000b94 <__call_r_bt_bb_intr_clear>:
40000b94: 7190806f j 40009aac <r_bt_bb_intr_clear>
40000b98 <__call_r_bt_bb_intr_mask_set>:
40000b98: 70b0806f j 40009aa2 <r_bt_bb_intr_mask_set>
40000b9c <__call_r_bt_bb_isr>:
40000b9c: 7270806f j 40009ac2 <r_bt_bb_isr>
40000ba0 <__call_r_bt_rf_coex_cfg_set>:
40000ba0: 2e82d06f j 4002de88 <r_bt_rf_coex_cfg_set>
40000ba4 <__call_r_bt_rf_coex_conn_dynamic_pti_en_get>:
40000ba4: 3802d06f j 4002df24 <r_bt_rf_coex_conn_dynamic_pti_en_get>
40000ba8 <__call_r_bt_rf_coex_conn_phy_coded_data_time_limit_en_get>:
40000ba8: 3e02d06f j 4002df88 <r_bt_rf_coex_conn_phy_coded_data_time_limit_en_get>
40000bac <__call_r_bt_rf_coex_ext_adv_dynamic_pti_en_get>:
40000bac: 3b42d06f j 4002df60 <r_bt_rf_coex_ext_adv_dynamic_pti_en_get>
40000bb0 <__call_r_bt_rf_coex_ext_scan_dynamic_pti_en_get>:
40000bb0: 3882d06f j 4002df38 <r_bt_rf_coex_ext_scan_dynamic_pti_en_get>
40000bb4 <__call_r_bt_rf_coex_legacy_adv_dynamic_pti_en_get>:
40000bb4: 35c2d06f j 4002df10 <r_bt_rf_coex_legacy_adv_dynamic_pti_en_get>
40000bb8 <__call_r_bt_rf_coex_per_adv_dynamic_pti_en_get>:
40000bb8: 3bc2d06f j 4002df74 <r_bt_rf_coex_per_adv_dynamic_pti_en_get>
40000bbc <__call_r_bt_rf_coex_pti_table_get>:
40000bbc: 3e02d06f j 4002df9c <r_bt_rf_coex_pti_table_get>
40000bc0 <__call_r_bt_rf_coex_st_param_get>:
40000bc0: 3302d06f j 4002def0 <r_bt_rf_coex_st_param_get>
40000bc4 <__call_r_bt_rf_coex_st_param_set>:
40000bc4: 3062d06f j 4002deca <r_bt_rf_coex_st_param_set>
40000bc8 <__call_r_bt_rf_coex_sync_scan_dynamic_pti_en_get>:
40000bc8: 3842d06f j 4002df4c <r_bt_rf_coex_sync_scan_dynamic_pti_en_get>
40000bcc <__call_r_bt_rma_apply_rule_cs_fmt>:
40000bcc: 5ce2d06f j 4002e19a <r_bt_rma_apply_rule_cs_fmt>
40000bd0 <__call_r_bt_rma_apply_rule_cs_idx>:
40000bd0: 6fa2d06f j 4002e2ca <r_bt_rma_apply_rule_cs_idx>
40000bd4 <__call_r_bt_rma_configure>:
40000bd4: 4d02d06f j 4002e0a4 <r_bt_rma_configure>
40000bd8 <__call_r_bt_rma_deregister_rule_cs_fmt>:
40000bd8: 5622d06f j 4002e13a <r_bt_rma_deregister_rule_cs_fmt>
40000bdc <__call_r_bt_rma_deregister_rule_cs_idx>:
40000bdc: 6982d06f j 4002e274 <r_bt_rma_deregister_rule_cs_idx>
40000be0 <__call_r_bt_rma_get_ant_by_act>:
40000be0: 72c2d06f j 4002e30c <r_bt_rma_get_ant_by_act>
40000be4 <__call_r_bt_rma_init>:
40000be4: 4b02d06f j 4002e094 <r_bt_rma_init>
40000be8 <__call_r_bt_rma_register_rule_cs_fmt>:
40000be8: 4d62d06f j 4002e0be <r_bt_rma_register_rule_cs_fmt>
40000bec <__call_r_bt_rma_register_rule_cs_idx>:
40000bec: 6162d06f j 4002e202 <r_bt_rma_register_rule_cs_idx>
40000bf0 <__call_r_bt_rtp_apply_rule_cs_fmt>:
40000bf0: 0712d06f j 4002e460 <r_bt_rtp_apply_rule_cs_fmt>
40000bf4 <__call_r_bt_rtp_apply_rule_cs_idx>:
40000bf4: 17b2d06f j 4002e56e <r_bt_rtp_apply_rule_cs_idx>
40000bf8 <__call_r_bt_rtp_deregister_rule_cs_fmt>:
40000bf8: 0092d06f j 4002e400 <r_bt_rtp_deregister_rule_cs_fmt>
40000bfc <__call_r_bt_rtp_deregister_rule_cs_idx>:
40000bfc: 11d2d06f j 4002e518 <r_bt_rtp_deregister_rule_cs_idx>
40000c00 <__call_r_bt_rtp_get_txpwr_idx_by_act>:
40000c00: 1a72d06f j 4002e5a6 <r_bt_rtp_get_txpwr_idx_by_act>
40000c04 <__call_r_bt_rtp_init>:
40000c04: 77c2d06f j 4002e380 <r_bt_rtp_init>
40000c08 <__call_r_bt_rtp_register_rule_cs_fmt>:
40000c08: 7882d06f j 4002e390 <r_bt_rtp_register_rule_cs_fmt>
40000c0c <__call_r_bt_rtp_register_rule_cs_idx>:
40000c0c: 0a92d06f j 4002e4b4 <r_bt_rtp_register_rule_cs_idx>
40000c10 <__call_r_btdm_isr>:
40000c10: 74f0806f j 40009b5e <r_btdm_isr>
40000c14 <__call_r_btdm_task_post>:
40000c14: 0d93006f j 400314ec <r_btdm_task_post>
40000c18 <__call_r_btdm_task_post_from_isr>:
40000c18: 0873006f j 4003149e <r_btdm_task_post_from_isr>
40000c1c <__call_r_btdm_task_recycle>:
40000c1c: 1713006f j 4003158c <r_btdm_task_recycle>
40000c20 <__call_r_cali_phase_match_p>:
40000c20: 4bd0206f j 400038dc <r_cali_phase_match_p>
40000c24 <__call_r_cmp_abs_time>:
40000c24: 7f10906f j 4000ac14 <r_cmp_abs_time>
40000c28 <__call_r_cmp_dest_id>:
40000c28: 1e90906f j 4000a610 <r_cmp_dest_id>
40000c2c <__call_r_cmp_timer_id>:
40000c2c: 7f50906f j 4000ac20 <r_cmp_timer_id>
40000c30 <__call_r_co_bdaddr_compare>:
40000c30: 2ec0306f j 40003f1c <r_co_bdaddr_compare>
40000c34 <__call_r_co_ble_pkt_dur_in_us>:
40000c34: 0a50306f j 400044d8 <r_co_ble_pkt_dur_in_us>
40000c38 <__call_r_co_list_extract>:
40000c38: 75d0206f j 40003b94 <r_co_list_extract>
40000c3c <__call_r_co_list_extract_after>:
40000c3c: 00c0306f j 40003c48 <r_co_list_extract_after>
40000c40 <__call_r_co_list_extract_sublist>:
40000c40: 0b80306f j 40003cf8 <r_co_list_extract_sublist>
40000c44 <__call_r_co_list_find>:
40000c44: 11c0306f j 40003d60 <r_co_list_find>
40000c48 <__call_r_co_list_init>:
40000c48: 61f0206f j 40003a66 <r_co_list_init>
40000c4c <__call_r_co_list_insert_after>:
40000c4c: 1de0306f j 40003e2a <r_co_list_insert_after>
40000c50 <__call_r_co_list_insert_before>:
40000c50: 16c0306f j 40003dbc <r_co_list_insert_before>
40000c54 <__call_r_co_list_merge>:
40000c54: 11e0306f j 40003d72 <r_co_list_merge>
40000c58 <__call_r_co_list_pool_init>:
40000c58: 6190206f j 40003a70 <r_co_list_pool_init>
40000c5c <__call_r_co_list_pop_front>:
40000c5c: 7270206f j 40003b82 <r_co_list_pop_front>
40000c60 <__call_r_co_list_push_back>:
40000c60: 6390206f j 40003a98 <r_co_list_push_back>
40000c64 <__call_r_co_list_push_back_sublist>:
40000c64: 6790206f j 40003adc <r_co_list_push_back_sublist>
40000c68 <__call_r_co_list_push_front>:
40000c68: 6df0206f j 40003b46 <r_co_list_push_front>
40000c6c <__call_r_co_list_size>:
40000c6c: 2300306f j 40003e9c <r_co_list_size>
40000c70 <__call_r_co_nb_good_le_channels>:
40000c70: 2d20306f j 40003f42 <r_co_nb_good_le_channels>
40000c74 <__call_r_co_util_pack>:
40000c74: 3080306f j 40003f7c <r_co_util_pack>
40000c78 <__call_r_co_util_read_array_size>:
40000c78: 2360306f j 40003eae <r_co_util_read_array_size>
40000c7c <__call_r_co_util_unpack>:
40000c7c: 5bc0306f j 40004238 <r_co_util_unpack>
40000c80 <__call_r_dbg_env_deinit>:
40000c80: 0b10306f j 40004530 <r_dbg_env_deinit>
40000c84 <__call_r_dbg_env_init>:
40000c84: 0a90306f j 4000452c <r_dbg_env_init>
40000c88 <__call_r_dbg_platform_reset_complete>:
40000c88: 0ab0306f j 40004532 <r_dbg_platform_reset_complete>
40000c8c <__call_r_dl_upd_proc_start>:
40000c8c: 6d00d06f j 4000e35c <r_dl_upd_proc_start>
40000c90 <__call_r_dump_data>:
40000c90: 13a0206f j 40002dca <r_dump_data>
40000c94 <__call_r_ecc_abort_key256_generation>:
40000c94: 1150506f j 400065a8 <r_ecc_abort_key256_generation>
40000c98 <__call_r_ecc_gen_new_public_key>:
40000c98: 18f0506f j 40006626 <r_ecc_gen_new_public_key>
40000c9c <__call_r_ecc_gen_new_secret_key>:
40000c9c: 1b10506f j 4000664c <r_ecc_gen_new_secret_key>
40000ca0 <__call_r_ecc_generate_key256>:
40000ca0: 5fe0506f j 4000629e <r_ecc_generate_key256>
40000ca4 <__call_r_ecc_get_debug_Keys>:
40000ca4: 2ff0506f j 400067a2 <r_ecc_get_debug_Keys>
40000ca8 <__call_r_ecc_init>:
40000ca8: 54c0506f j 400061f4 <r_ecc_init>
40000cac <__call_r_ecc_is_valid_point>:
40000cac: 2ca0506f j 40005f76 <r_ecc_is_valid_point>
40000cb0 <__call_r_ecc_multiplication_event_handler>:
40000cb0: 3f20506f j 400060a2 <r_ecc_multiplication_event_handler>
40000cb4 <__call_r_ecc_point_multiplication_win_256>:
40000cb4: 6090406f j 40005abc <r_ecc_point_multiplication_win_256>
40000cb8 <__call_r_emi_alloc_em_mapping_by_offset>:
40000cb8: 73b0506f j 40006bf2 <r_emi_alloc_em_mapping_by_offset>
40000cbc <__call_r_emi_base_reg_lut_show>:
40000cbc: 45f0506f j 4000691a <r_emi_base_reg_lut_show>
40000cc0 <__call_r_emi_em_base_reg_show>:
40000cc0: 3d30506f j 40006892 <r_emi_em_base_reg_show>
40000cc4 <__call_r_emi_free_em_mapping_by_offset>:
40000cc4: 1220606f j 40006de6 <r_emi_free_em_mapping_by_offset>
40000cc8 <__call_r_emi_get_em_mapping_idx_by_offset>:
40000cc8: 5cb0506f j 40006a92 <r_emi_get_em_mapping_idx_by_offset>
40000ccc <__call_r_emi_get_mem_addr_by_offset>:
40000ccc: 4ab0506f j 40006976 <r_emi_get_mem_addr_by_offset>
40000cd0 <__call_r_emi_overwrite_em_mapping_by_offset>:
40000cd0: 60d0506f j 40006adc <r_emi_overwrite_em_mapping_by_offset>
40000cd4 <__call_r_esp_vendor_hci_command_handler>:
40000cd4: 3813006f j 40031854 <r_esp_vendor_hci_command_handler>
40000cd8 <__call_r_get_stack_usage>:
40000cd8: 1140206f j 40002dec <r_get_stack_usage>
40000cdc <__call_r_h4tl_acl_hdr_rx_evt_handler>:
40000cdc: 12f0606f j 4000760a <r_h4tl_acl_hdr_rx_evt_handler>
40000ce0 <__call_r_h4tl_cmd_hdr_rx_evt_handler>:
40000ce0: 0110606f j 400074f0 <r_h4tl_cmd_hdr_rx_evt_handler>
40000ce4 <__call_r_h4tl_cmd_pld_rx_evt_handler>:
40000ce4: 0c10606f j 400075a4 <r_h4tl_cmd_pld_rx_evt_handler>
40000ce8 <__call_r_h4tl_eif_io_event_post>:
40000ce8: 39b0506f j 40006882 <r_h4tl_eif_io_event_post>
40000cec <__call_r_h4tl_eif_register>:
40000cec: 30b0506f j 400067f6 <r_h4tl_eif_register>
40000cf0 <__call_r_h4tl_init>:
40000cf0: 1dd0606f j 400076cc <r_h4tl_init>
40000cf4 <__call_r_h4tl_out_of_sync>:
40000cf4: 3a00606f j 40007094 <r_h4tl_out_of_sync>
40000cf8 <__call_r_h4tl_out_of_sync_check>:
40000cf8: 4040606f j 400070fc <r_h4tl_out_of_sync_check>
40000cfc <__call_r_h4tl_read_hdr>:
40000cfc: 3260606f j 40007022 <r_h4tl_read_hdr>
40000d00 <__call_r_h4tl_read_next_out_of_sync>:
40000d00: 3740606f j 40007074 <r_h4tl_read_next_out_of_sync>
40000d04 <__call_r_h4tl_read_payl>:
40000d04: 3520606f j 40007056 <r_h4tl_read_payl>
40000d08 <__call_r_h4tl_read_start>:
40000d08: 2e60606f j 40006fee <r_h4tl_read_start>
40000d0c <__call_r_h4tl_rx_acl_hdr_extract>:
40000d0c: 2c00606f j 40006fcc <r_h4tl_rx_acl_hdr_extract>
40000d10 <__call_r_h4tl_rx_cmd_hdr_extract>:
40000d10: 2a20606f j 40006fb2 <r_h4tl_rx_cmd_hdr_extract>
40000d14 <__call_r_h4tl_rx_done>:
40000d14: 57c0606f j 40007290 <r_h4tl_rx_done>
40000d18 <__call_r_h4tl_start>:
40000d18: 2f90606f j 40007810 <r_h4tl_start>
40000d1c <__call_r_h4tl_stop>:
40000d1c: 3030606f j 4000781e <r_h4tl_stop>
40000d20 <__call_r_h4tl_tx_done>:
40000d20: 4880606f j 400071a8 <r_h4tl_tx_done>
40000d24 <__call_r_h4tl_tx_evt_handler>:
40000d24: 4ce0606f j 400071f2 <r_h4tl_tx_evt_handler>
40000d28 <__call_r_h4tl_write>:
40000d28: 2290606f j 40007750 <r_h4tl_write>
40000d2c <__call_r_hci_acl_tx_data_alloc>:
40000d2c: 4350806f j 40009960 <r_hci_acl_tx_data_alloc>
40000d30 <__call_r_hci_acl_tx_data_received>:
40000d30: 4c30806f j 400099f2 <r_hci_acl_tx_data_received>
40000d34 <__call_r_hci_basic_cmd_send_2_controller>:
40000d34: 39b0706f j 400088ce <r_hci_basic_cmd_send_2_controller>
40000d38 <__call_r_hci_ble_adv_report_filter_check>:
40000d38: 57b0706f j 40008ab2 <r_hci_ble_adv_report_filter_check>
40000d3c <__call_r_hci_ble_adv_report_tx_check>:
40000d3c: 68f0706f j 40008bca <r_hci_ble_adv_report_tx_check>
40000d40 <__call_r_hci_ble_conhdl_register>:
40000d40: 3bf0706f j 400088fe <r_hci_ble_conhdl_register>
40000d44 <__call_r_hci_ble_conhdl_unregister>:
40000d44: 4390706f j 4000897c <r_hci_ble_conhdl_unregister>
40000d48 <__call_r_hci_build_acl_data>:
40000d48: 37c0806f j 400090c4 <r_hci_build_acl_data>
40000d4c <__call_r_hci_build_cc_evt>:
40000d4c: 0760806f j 40008dc2 <r_hci_build_cc_evt>
40000d50 <__call_r_hci_build_cs_evt>:
40000d50: 0340806f j 40008d84 <r_hci_build_cs_evt>
40000d54 <__call_r_hci_build_evt>:
40000d54: 15a0806f j 40008eae <r_hci_build_evt>
40000d58 <__call_r_hci_build_le_evt>:
40000d58: 26e0806f j 40008fc6 <r_hci_build_le_evt>
40000d5c <__call_r_hci_cmd_get_max_param_size>:
40000d5c: 1650806f j 400096c0 <r_hci_cmd_get_max_param_size>
40000d60 <__call_r_hci_cmd_received>:
40000d60: 1850806f j 400096e4 <r_hci_cmd_received>
40000d64 <__call_r_hci_cmd_reject>:
40000d64: 6bb0706f j 40008c1e <r_hci_cmd_reject>
40000d68 <__call_r_hci_evt_mask_check>:
40000d68: 7f20706f j 4000855a <r_hci_evt_mask_check>
40000d6c <__call_r_hci_evt_mask_set>:
40000d6c: 48d0706f j 400089f8 <r_hci_evt_mask_set>
40000d70 <__call_r_hci_fc_acl_buf_size_set>:
40000d70: 2e90606f j 40007858 <r_hci_fc_acl_buf_size_set>
40000d74 <__call_r_hci_fc_acl_en>:
40000d74: 2fd0606f j 40007870 <r_hci_fc_acl_en>
40000d78 <__call_r_hci_fc_acl_packet_sent>:
40000d78: 3530606f j 400078ca <r_hci_fc_acl_packet_sent>
40000d7c <__call_r_hci_fc_check_host_available_nb_acl_packets>:
40000d7c: 3870606f j 40007902 <r_hci_fc_check_host_available_nb_acl_packets>
40000d80 <__call_r_hci_fc_host_nb_acl_pkts_complete>:
40000d80: 3650606f j 400078e4 <r_hci_fc_host_nb_acl_pkts_complete>
40000d84 <__call_r_hci_fc_init>:
40000d84: 2c30606f j 40007846 <r_hci_fc_init>
40000d88 <__call_r_hci_look_for_cmd_desc>:
40000d88: 6b00706f j 40008438 <r_hci_look_for_cmd_desc>
40000d8c <__call_r_hci_look_for_evt_desc>:
40000d8c: 7600706f j 400084ec <r_hci_look_for_evt_desc>
40000d90 <__call_r_hci_look_for_le_evt_desc>:
40000d90: 7880706f j 40008518 <r_hci_look_for_le_evt_desc>
40000d94 <__call_r_hci_look_for_le_evt_desc_esp>:
40000d94: 7b00706f j 40008544 <r_hci_look_for_le_evt_desc_esp>
40000d98 <__call_r_hci_pack_bytes>:
40000d98: 6460706f j 400083de <r_hci_pack_bytes>
40000d9c <__call_r_hci_register_vendor_desc_tab>:
40000d9c: 6280706f j 400083c4 <r_hci_register_vendor_desc_tab>
40000da0 <__call_r_hci_send_2_controller>:
40000da0: 2250706f j 400087c4 <r_hci_send_2_controller>
40000da4 <__call_r_hci_send_2_host>:
40000da4: 0690706f j 4000860c <r_hci_send_2_host>
40000da8 <__call_r_hci_tl_c2h_data_flow_on>:
40000da8: 6380806f j 400093e0 <r_hci_tl_c2h_data_flow_on>
40000dac <__call_r_hci_tl_cmd_hdr_rx_evt_handler>:
40000dac: 73a0806f j 400094e6 <r_hci_tl_cmd_hdr_rx_evt_handler>
40000db0 <__call_r_hci_tl_cmd_pld_rx_evt_handler>:
40000db0: 7a80806f j 40009558 <r_hci_tl_cmd_pld_rx_evt_handler>
40000db4 <__call_r_hci_tl_get_pkt>:
40000db4: 7280806f j 400094dc <r_hci_tl_get_pkt>
40000db8 <__call_r_hci_tl_hci_pkt_handler>:
40000db8: 0150806f j 400095cc <r_hci_tl_hci_pkt_handler>
40000dbc <__call_r_hci_tl_hci_tx_done_evt_handler>:
40000dbc: 0df0806f j 4000969a <r_hci_tl_hci_tx_done_evt_handler>
40000dc0 <__call_r_hci_tl_inc_nb_h2c_cmd_pkts>:
40000dc0: 6b60806f j 40009476 <r_hci_tl_inc_nb_h2c_cmd_pkts>
40000dc4 <__call_r_hci_tl_save_pkt>:
40000dc4: 6cc0806f j 40009490 <r_hci_tl_save_pkt>
40000dc8 <__call_r_hci_tl_send>:
40000dc8: 6320806f j 400093fa <r_hci_tl_send>
40000dcc <__call_r_hci_tx_done>:
40000dcc: 4ee0806f j 400092ba <r_hci_tx_done>
40000dd0 <__call_r_hci_tx_start>:
40000dd0: 31a0806f j 400090ea <r_hci_tx_start>
40000dd4 <__call_r_hci_tx_trigger>:
40000dd4: 5ee0806f j 400093c2 <r_hci_tx_trigger>
40000dd8 <__call_r_isValidSecretKey_256>:
40000dd8: 7b80306f j 40004590 <r_isValidSecretKey_256>
40000ddc <__call_r_ke_check_malloc>:
40000ddc: 0c20906f j 40009e9e <r_ke_check_malloc>
40000de0 <__call_r_ke_event_callback_set>:
40000de0: 5dd0806f j 40009bbc <r_ke_event_callback_set>
40000de4 <__call_r_ke_event_clear>:
40000de4: 68f0806f j 40009c72 <r_ke_event_clear>
40000de8 <__call_r_ke_event_flush>:
40000de8: 75d0806f j 40009d44 <r_ke_event_flush>
40000dec <__call_r_ke_event_get>:
40000dec: 6ed0806f j 40009cd8 <r_ke_event_get>
40000df0 <__call_r_ke_event_get_all>:
40000df0: 74b0806f j 40009d3a <r_ke_event_get_all>
40000df4 <__call_r_ke_event_init>:
40000df4: 5b70806f j 40009baa <r_ke_event_init>
40000df8 <__call_r_ke_event_schedule>:
40000df8: 7570806f j 40009d4e <r_ke_event_schedule>
40000dfc <__call_r_ke_event_set>:
40000dfc: 6150806f j 40009c10 <r_ke_event_set>
40000e00 <__call_r_ke_flush>:
40000e00: 6d80906f j 4000a4d8 <r_ke_flush>
40000e04 <__call_r_ke_free>:
40000e04: 3260906f j 4000a12a <r_ke_free>
40000e08 <__call_r_ke_handler_search>:
40000e08: 0890906f j 4000a690 <r_ke_handler_search>
40000e0c <__call_r_ke_init>:
40000e0c: 6620906f j 4000a46e <r_ke_init>
40000e10 <__call_r_ke_is_free>:
40000e10: 50c0906f j 4000a31c <r_ke_is_free>
40000e14 <__call_r_ke_malloc>:
40000e14: 1880906f j 40009f9c <r_ke_malloc>
40000e18 <__call_r_ke_mem_init>:
40000e18: 7ed0806f j 40009e04 <r_ke_mem_init>
40000e1c <__call_r_ke_mem_is_empty>:
40000e1c: 0280906f j 40009e44 <r_ke_mem_is_empty>
40000e20 <__call_r_ke_mem_is_in_heap>:
40000e20: 7b50806f j 40009dd4 <r_ke_mem_is_in_heap>
40000e24 <__call_r_ke_msg_alloc>:
40000e24: 50a0906f j 4000a32e <r_ke_msg_alloc>
40000e28 <__call_r_ke_msg_dest_id_get>:
40000e28: 62e0906f j 4000a456 <r_ke_msg_dest_id_get>
40000e2c <__call_r_ke_msg_discard>:
40000e2c: 50d0906f j 4000ab38 <r_ke_msg_discard>
40000e30 <__call_r_ke_msg_forward>:
40000e30: 5e80906f j 4000a418 <r_ke_msg_forward>
40000e34 <__call_r_ke_msg_forward_new_id>:
40000e34: 5fa0906f j 4000a42e <r_ke_msg_forward_new_id>
40000e38 <__call_r_ke_msg_free>:
40000e38: 6100906f j 4000a448 <r_ke_msg_free>
40000e3c <__call_r_ke_msg_in_queue>:
40000e3c: 6260906f j 4000a462 <r_ke_msg_in_queue>
40000e40 <__call_r_ke_msg_save>:
40000e40: 4fd0906f j 4000ab3c <r_ke_msg_save>
40000e44 <__call_r_ke_msg_send>:
40000e44: 5620906f j 4000a3a6 <r_ke_msg_send>
40000e48 <__call_r_ke_msg_send_basic>:
40000e48: 5aa0906f j 4000a3f2 <r_ke_msg_send_basic>
40000e4c <__call_r_ke_msg_src_id_get>:
40000e4c: 6100906f j 4000a45c <r_ke_msg_src_id_get>
40000e50 <__call_r_ke_queue_extract>:
40000e50: 7240906f j 4000a574 <r_ke_queue_extract>
40000e54 <__call_r_ke_queue_insert>:
40000e54: 7720906f j 4000a5c6 <r_ke_queue_insert>
40000e58 <__call_r_ke_sleep_check>:
40000e58: 7000906f j 4000a558 <r_ke_sleep_check>
40000e5c <__call_r_ke_state_get>:
40000e5c: 42d0906f j 4000aa88 <r_ke_state_get>
40000e60 <__call_r_ke_state_set>:
40000e60: 3370906f j 4000a996 <r_ke_state_set>
40000e64 <__call_r_ke_task_check>:
40000e64: 5510906f j 4000abb4 <r_ke_task_check>
40000e68 <__call_r_ke_task_create>:
40000e68: 27f0906f j 4000a8e6 <r_ke_task_create>
40000e6c <__call_r_ke_task_delete>:
40000e6c: 2e30906f j 4000a94e <r_ke_task_delete>
40000e70 <__call_r_ke_task_handler_get>:
40000e70: 07b0906f j 4000a6ea <r_ke_task_handler_get>
40000e74 <__call_r_ke_task_init>:
40000e74: 2450906f j 4000a8b8 <r_ke_task_init>
40000e78 <__call_r_ke_task_msg_flush>:
40000e78: 4c90906f j 4000ab40 <r_ke_task_msg_flush>
40000e7c <__call_r_ke_task_saved_update>:
40000e7c: 7a00906f j 4000a61c <r_ke_task_saved_update>
40000e80 <__call_r_ke_task_schedule>:
40000e80: 1150906f j 4000a794 <r_ke_task_schedule>
40000e84 <__call_r_ke_time>:
40000e84: 6750906f j 4000acf8 <r_ke_time>
40000e88 <__call_r_ke_time_cmp>:
40000e88: 55b0906f j 4000abe2 <r_ke_time_cmp>
40000e8c <__call_r_ke_time_past>:
40000e8c: 5630906f j 4000abee <r_ke_time_past>
40000e90 <__call_r_ke_timer_active>:
40000e90: 07a0a06f j 4000af0a <r_ke_timer_active>
40000e94 <__call_r_ke_timer_adjust_all>:
40000e94: 09c0a06f j 4000af30 <r_ke_timer_adjust_all>
40000e98 <__call_r_ke_timer_clear>:
40000e98: 7cd0906f j 4000ae64 <r_ke_timer_clear>
40000e9c <__call_r_ke_timer_init>:
40000e9c: 6490906f j 4000ace4 <r_ke_timer_init>
40000ea0 <__call_r_ke_timer_schedule>:
40000ea0: 5a10906f j 4000ac40 <r_ke_timer_schedule>
40000ea4 <__call_r_ke_timer_set>:
40000ea4: 6910906f j 4000ad34 <r_ke_timer_set>
40000ea8 <__call_r_led_init>:
40000ea8: 09e0a06f j 4000af46 <r_led_init>
40000eac <__call_r_led_set_all>:
40000eac: 09c0a06f j 4000af48 <r_led_set_all>
40000eb0 <__call_r_llc_aes_res_cb>:
40000eb0: 56e0d06f j 4000e41e <r_llc_aes_res_cb>
40000eb4 <__call_r_llc_ch_map_up_proc_err_cb>:
40000eb4: 3900a06f j 4000b244 <r_llc_ch_map_up_proc_err_cb>
40000eb8 <__call_r_llc_cleanup>:
40000eb8: 38e1006f j 40011246 <r_llc_cleanup>
40000ebc <__call_r_llc_cmd_cmp_send>:
40000ebc: 3a20f06f j 4001025e <r_llc_cmd_cmp_send>
40000ec0 <__call_r_llc_cmd_stat_send>:
40000ec0: 3e20f06f j 400102a2 <r_llc_cmd_stat_send>
40000ec4 <__call_r_llc_con_move_cbk>:
40000ec4: 1ba0c06f j 4000d07e <r_llc_con_move_cbk>
40000ec8 <__call_r_llc_con_plan_set_update>:
40000ec8: 2000b06f j 4000c0c8 <r_llc_con_plan_set_update>
40000ecc <__call_r_llc_con_upd_param_in_range>:
40000ecc: 0310a06f j 4000b6fc <r_llc_con_upd_param_in_range>
40000ed0 <__call_r_llc_disconnect>:
40000ed0: 19d0c06f j 4000d86c <r_llc_disconnect>
40000ed4 <__call_r_llc_disconnect_end>:
40000ed4: 3b00c06f j 4000d284 <r_llc_disconnect_end>
40000ed8 <__call_r_llc_disconnect_proc_continue>:
40000ed8: 4260c06f j 4000d2fe <r_llc_disconnect_proc_continue>
40000edc <__call_r_llc_disconnect_proc_err_cb>:
40000edc: 54e0c06f j 4000d42a <r_llc_disconnect_proc_err_cb>
40000ee0 <__call_r_llc_dl_chg_check>:
40000ee0: 0e91106f j 400127c8 <r_llc_dl_chg_check>
40000ee4 <__call_r_llc_dle_proc_err_cb>:
40000ee4: 04a0d06f j 4000df2e <r_llc_dle_proc_err_cb>
40000ee8 <__call_r_llc_feats_exch_proc_err_cb>:
40000ee8: 4c10e06f j 4000fba8 <r_llc_feats_exch_proc_err_cb>
40000eec <__call_r_llc_hci_cmd_handler_tab_p_get>:
40000eec: 3f00f06f j 400102dc <r_llc_hci_cmd_handler_tab_p_get>
40000ef0 <__call_r_llc_hci_command_handler>:
40000ef0: 3f60f06f j 400102e6 <r_llc_hci_command_handler>
40000ef4 <__call_r_llc_hci_con_param_req_evt_send>:
40000ef4: 16c0b06f j 4000c060 <r_llc_hci_con_param_req_evt_send>
40000ef8 <__call_r_llc_hci_con_upd_info_send>:
40000ef8: 37e0b06f j 4000c276 <r_llc_hci_con_upd_info_send>
40000efc <__call_r_llc_hci_disconnected_dis>:
40000efc: 0610c06f j 4000d75c <r_llc_hci_disconnected_dis>
40000f00 <__call_r_llc_hci_dl_upd_info_send>:
40000f00: 2ad0c06f j 4000d9ac <r_llc_hci_dl_upd_info_send>
40000f04 <__call_r_llc_hci_enc_evt_send>:
40000f04: 7c50d06f j 4000eec8 <r_llc_hci_enc_evt_send>
40000f08 <__call_r_llc_hci_feats_info_send>:
40000f08: 3390e06f j 4000fa40 <r_llc_hci_feats_info_send>
40000f0c <__call_r_llc_hci_le_phy_upd_cmp_evt_send>:
40000f0c: 7261106f j 40012632 <r_llc_hci_le_phy_upd_cmp_evt_send>
40000f10 <__call_r_llc_hci_ltk_request_evt_send>:
40000f10: 7570d06f j 4000ee66 <r_llc_hci_ltk_request_evt_send>
40000f14 <__call_r_llc_hci_nb_cmp_pkts_evt_send>:
40000f14: 3000f06f j 40010214 <r_llc_hci_nb_cmp_pkts_evt_send>
40000f18 <__call_r_llc_hci_version_info_send>:
40000f18: 1861206f j 4001309e <r_llc_hci_version_info_send>
40000f1c <__call_r_llc_init_term_proc>:
40000f1c: 1b70c06f j 4000d8d2 <r_llc_init_term_proc>
40000f20 <__call_r_llc_iv_skd_rand_gen>:
40000f20: 5560d06f j 4000e476 <r_llc_iv_skd_rand_gen>
40000f24 <__call_r_llc_le_ping_proc_continue>:
40000f24: 6f20f06f j 40010616 <r_llc_le_ping_proc_continue>
40000f28 <__call_r_llc_le_ping_proc_err_cb>:
40000f28: 6720f06f j 4001059a <r_llc_le_ping_proc_err_cb>
40000f2c <__call_r_llc_le_ping_restart>:
40000f2c: 32d0f06f j 40010a58 <r_llc_le_ping_restart>
40000f30 <__call_r_llc_le_ping_set>:
40000f30: 3970f06f j 40010ac6 <r_llc_le_ping_set>
40000f34 <__call_r_llc_ll_pause_enc_rsp_ack_handler>:
40000f34: 66d0d06f j 4000eda0 <r_llc_ll_pause_enc_rsp_ack_handler>
40000f38 <__call_r_llc_ll_reject_ind_ack_handler>:
40000f38: 6ed0d06f j 4000ee24 <r_llc_ll_reject_ind_ack_handler>
40000f3c <__call_r_llc_ll_reject_ind_pdu_send>:
40000f3c: 4fd0f06f j 40010c38 <r_llc_ll_reject_ind_pdu_send>
40000f40 <__call_r_llc_ll_start_enc_rsp_ack_handler>:
40000f40: 6a30d06f j 4000ede2 <r_llc_ll_start_enc_rsp_ack_handler>
40000f44 <__call_r_llc_ll_terminate_ind_ack>:
40000f44: 4d60c06f j 4000d41a <r_llc_ll_terminate_ind_ack>
40000f48 <__call_r_llc_ll_unknown_ind_handler>:
40000f48: 4e30f06f j 40010c2a <r_llc_ll_unknown_ind_handler>
40000f4c <__call_r_llc_llcp_send>:
40000f4c: 57b0f06f j 40010cc6 <r_llc_llcp_send>
40000f50 <__call_r_llc_llcp_state_set>:
40000f50: 6f01006f j 40011640 <r_llc_llcp_state_set>
40000f54 <__call_r_llc_llcp_trans_timer_set>:
40000f54: 24e1006f j 400111a2 <r_llc_llcp_trans_timer_set>
40000f58 <__call_r_llc_llcp_tx_check>:
40000f58: 67b0f06f j 40010dd2 <r_llc_llcp_tx_check>
40000f5c <__call_r_llc_loc_ch_map_proc_continue>:
40000f5c: 13e0a06f j 4000b09a <r_llc_loc_ch_map_proc_continue>
40000f60 <__call_r_llc_loc_con_upd_proc_continue>:
40000f60: 2550a06f j 4000b9b4 <r_llc_loc_con_upd_proc_continue>
40000f64 <__call_r_llc_loc_con_upd_proc_err_cb>:
40000f64: 4580b06f j 4000c3bc <r_llc_loc_con_upd_proc_err_cb>
40000f68 <__call_r_llc_loc_dl_upd_proc_continue>:
40000f68: 2ab0c06f j 4000da12 <r_llc_loc_dl_upd_proc_continue>
40000f6c <__call_r_llc_loc_encrypt_proc_continue>:
40000f6c: 6700d06f j 4000e5dc <r_llc_loc_encrypt_proc_continue>
40000f70 <__call_r_llc_loc_encrypt_proc_err_cb>:
40000f70: 7c50d06f j 4000ef34 <r_llc_loc_encrypt_proc_err_cb>
40000f74 <__call_r_llc_loc_feats_exch_proc_continue>:
40000f74: 32f0e06f j 4000faa2 <r_llc_loc_feats_exch_proc_continue>
40000f78 <__call_r_llc_loc_phy_upd_proc_continue>:
40000f78: 16e1106f j 400120e6 <r_llc_loc_phy_upd_proc_continue>
40000f7c <__call_r_llc_loc_phy_upd_proc_err_cb>:
40000f7c: 76e1106f j 400126ea <r_llc_loc_phy_upd_proc_err_cb>
40000f80 <__call_r_llc_msg_handler_tab_p_get>:
40000f80: 0dc1206f j 4001305c <r_llc_msg_handler_tab_p_get>
40000f84 <__call_r_llc_pref_param_compute>:
40000f84: 7c20a06f j 4000b746 <r_llc_pref_param_compute>
40000f88 <__call_r_llc_proc_collision_check>:
40000f88: 5451006f j 40011ccc <r_llc_proc_collision_check>
40000f8c <__call_r_llc_proc_err_ind>:
40000f8c: 2b31006f j 40011a3e <r_llc_proc_err_ind>
40000f90 <__call_r_llc_proc_get>:
40000f90: 2631006f j 400119f2 <r_llc_proc_get>
40000f94 <__call_r_llc_proc_id_get>:
40000f94: 2031006f j 40011996 <r_llc_proc_id_get>
40000f98 <__call_r_llc_proc_reg>:
40000f98: 0211006f j 400117b8 <r_llc_proc_reg>
40000f9c <__call_r_llc_proc_state_get>:
40000f9c: 24b1006f j 400119e6 <r_llc_proc_state_get>
40000fa0 <__call_r_llc_proc_state_set>:
40000fa0: 24d1006f j 400119ec <r_llc_proc_state_set>
40000fa4 <__call_r_llc_proc_timer_pause_set>:
40000fa4: 4211006f j 40011bc4 <r_llc_proc_timer_pause_set>
40000fa8 <__call_r_llc_proc_timer_set>:
40000fa8: 3131006f j 40011aba <r_llc_proc_timer_set>
40000fac <__call_r_llc_proc_unreg>:
40000fac: 0f11006f j 4001189c <r_llc_proc_unreg>
40000fb0 <__call_r_llc_rem_ch_map_proc_continue>:
40000fb0: 79b0906f j 4000af4a <r_llc_rem_ch_map_proc_continue>
40000fb4 <__call_r_llc_rem_con_upd_proc_continue>:
40000fb4: 5db0a06f j 4000bd8e <r_llc_rem_con_upd_proc_continue>
40000fb8 <__call_r_llc_rem_con_upd_proc_err_cb>:
40000fb8: 4d60b06f j 4000c48e <r_llc_rem_con_upd_proc_err_cb>
40000fbc <__call_r_llc_rem_dl_upd_proc>:
40000fbc: 5470c06f j 4000dd02 <r_llc_rem_dl_upd_proc>
40000fc0 <__call_r_llc_rem_encrypt_proc_continue>:
40000fc0: 1d90d06f j 4000e998 <r_llc_rem_encrypt_proc_continue>
40000fc4 <__call_r_llc_rem_encrypt_proc_err_cb>:
40000fc4: 01a0e06f j 4000efde <r_llc_rem_encrypt_proc_err_cb>
40000fc8 <__call_r_llc_rem_phy_upd_proc_continue>:
40000fc8: 59b1006f j 40011d62 <r_llc_rem_phy_upd_proc_continue>
40000fcc <__call_r_llc_rem_phy_upd_proc_err_cb>:
40000fcc: 7c61106f j 40012792 <r_llc_rem_phy_upd_proc_err_cb>
40000fd0 <__call_r_llc_role_get>:
40000fd0: 61a1006f j 400115ea <r_llc_role_get>
40000fd4 <__call_r_llc_sk_gen>:
40000fd4: 4be0d06f j 4000e492 <r_llc_sk_gen>
40000fd8 <__call_r_llc_start>:
40000fd8: 3981006f j 40011370 <r_llc_start>
40000fdc <__call_r_llc_stop>:
40000fdc: 3321006f j 4001130e <r_llc_stop>
40000fe0 <__call_r_llc_ver_exch_loc_proc_continue>:
40000fe0: 1221206f j 40013102 <r_llc_ver_exch_loc_proc_continue>
40000fe4 <__call_r_llc_ver_proc_err_cb>:
40000fe4: 22e1206f j 40013212 <r_llc_ver_proc_err_cb>
40000fe8 <__call_r_llcp_pdu_handler_tab_p_get>:
40000fe8: 4130f06f j 40010bfa <r_llcp_pdu_handler_tab_p_get>
40000fec <__call_r_lld_aa_gen>:
40000fec: 0682006f j 40021054 <r_lld_aa_gen>
40000ff0 <__call_r_lld_adv_adv_data_set>:
40000ff0: 7aa1406f j 4001579a <r_lld_adv_adv_data_set>
40000ff4 <__call_r_lld_adv_adv_data_update>:
40000ff4: 2511706f j 40018a44 <r_lld_adv_adv_data_update>
40000ff8 <__call_r_lld_adv_aux_ch_idx_set>:
40000ff8: 4e01306f j 400144d8 <r_lld_adv_aux_ch_idx_set>
40000ffc <__call_r_lld_adv_aux_evt_canceled_cbk>:
40000ffc: 6011506f j 40016dfc <r_lld_adv_aux_evt_canceled_cbk>
40001000 <__call_r_lld_adv_aux_evt_start_cbk>:
40001000: 1b31506f j 400169b2 <r_lld_adv_aux_evt_start_cbk>
40001004 <__call_r_lld_adv_coex_check_ext_adv_synced>:
40001004: 6091706f j 40018e0c <r_lld_adv_coex_check_ext_adv_synced>
40001008 <__call_r_lld_adv_coex_env_reset>:
40001008: 47a1206f j 40013482 <r_lld_adv_coex_env_reset>
4000100c <__call_r_lld_adv_duration_update>:
4000100c: 3951706f j 40018ba0 <r_lld_adv_duration_update>
40001010 <__call_r_lld_adv_dynamic_pti_process>:
40001010: 0761306f j 40014086 <r_lld_adv_dynamic_pti_process>
40001014 <__call_r_lld_adv_end>:
40001014: 1501306f j 40014164 <r_lld_adv_end>
40001018 <__call_r_lld_adv_evt_canceled_cbk>:
40001018: 4a51506f j 40016cbc <r_lld_adv_evt_canceled_cbk>
4000101c <__call_r_lld_adv_evt_start_cbk>:
4000101c: 6101506f j 4001662c <r_lld_adv_evt_start_cbk>
40001020 <__call_r_lld_adv_ext_chain_construct>:
40001020: 6be1406f j 400156de <r_lld_adv_ext_chain_construct>
40001024 <__call_r_lld_adv_ext_pkt_prepare>:
40001024: 2b51306f j 40014ad8 <r_lld_adv_ext_pkt_prepare>
40001028 <__call_r_lld_adv_frm_cbk>:
40001028: 5281606f j 40017550 <r_lld_adv_frm_cbk>
4000102c <__call_r_lld_adv_frm_isr>:
4000102c: 7691506f j 40016f94 <r_lld_adv_frm_isr>
40001030 <__call_r_lld_adv_frm_skip_isr>:
40001030: 36c1606f j 4001739c <r_lld_adv_frm_skip_isr>
40001034 <__call_r_lld_adv_init>:
40001034: 58c1606f j 400175c0 <r_lld_adv_init>
40001038 <__call_r_lld_adv_pkt_rx>:
40001038: 51c1506f j 40016554 <r_lld_adv_pkt_rx>
4000103c <__call_r_lld_adv_pkt_rx_connect_ind>:
4000103c: 7d71406f j 40016012 <r_lld_adv_pkt_rx_connect_ind>
40001040 <__call_r_lld_adv_pkt_rx_send_scan_req_evt>:
40001040: 3741506f j 400163b4 <r_lld_adv_pkt_rx_send_scan_req_evt>
40001044 <__call_r_lld_adv_rand_addr_update>:
40001044: 3e71706f j 40018c2a <r_lld_adv_rand_addr_update>
40001048 <__call_r_lld_adv_restart>:
40001048: 4c51706f j 40018d0c <r_lld_adv_restart>
4000104c <__call_r_lld_adv_scan_rsp_data_set>:
4000104c: 2011406f j 40015a4c <r_lld_adv_scan_rsp_data_set>
40001050 <__call_r_lld_adv_scan_rsp_data_update>:
40001050: 2a31706f j 40018af2 <r_lld_adv_scan_rsp_data_update>
40001054 <__call_r_lld_adv_set_tx_power>:
40001054: 09a1306f j 400140ee <r_lld_adv_set_tx_power>
40001058 <__call_r_lld_adv_start>:
40001058: 5201706f j 40018578 <r_lld_adv_start>
4000105c <__call_r_lld_adv_stop>:
4000105c: 12f1706f j 4001898a <r_lld_adv_stop>
40001060 <__call_r_lld_adv_sync_info_set>:
40001060: 1e01306f j 40014240 <r_lld_adv_sync_info_set>
40001064 <__call_r_lld_adv_sync_info_update>:
40001064: 53f1706f j 40018da2 <r_lld_adv_sync_info_update>
40001068 <__call_r_lld_calc_aux_rx>:
40001068: 0ba2006f j 40021122 <r_lld_calc_aux_rx>
4000106c <__call_r_lld_cca_alloc>:
4000106c: 0812006f j 400218ec <r_lld_cca_alloc>
40001070 <__call_r_lld_cca_data_reset>:
40001070: 1832006f j 400219f2 <r_lld_cca_data_reset>
40001074 <__call_r_lld_cca_free>:
40001074: 0fb2006f j 4002196e <r_lld_cca_free>
40001078 <__call_r_lld_ch_assess_data_get>:
40001078: 4401f06f j 400204b8 <r_lld_ch_assess_data_get>
4000107c <__call_r_lld_ch_idx_get>:
4000107c: 2e22006f j 4002135e <r_lld_ch_idx_get>
40001080 <__call_r_lld_ch_map_set>:
40001080: 2762006f j 400212f6 <r_lld_ch_map_set>
40001084 <__call_r_lld_channel_assess>:
40001084: 34c1f06f j 400203d0 <r_lld_channel_assess>
40001088 <__call_r_lld_con_activity_act_offset_compute>:
40001088: 0ad1b06f j 4001c934 <r_lld_con_activity_act_offset_compute>
4000108c <__call_r_lld_con_activity_offset_compute>:
4000108c: 1dd1b06f j 4001ca68 <r_lld_con_activity_offset_compute>
40001090 <__call_r_lld_con_ch_map_update>:
40001090: 5aa1b06f j 4001c63a <r_lld_con_ch_map_update>
40001094 <__call_r_lld_con_cleanup>:
40001094: 5b81806f j 4001964c <r_lld_con_cleanup>
40001098 <__call_r_lld_con_current_tx_power_get>:
40001098: 54f1b06f j 4001cde6 <r_lld_con_current_tx_power_get>
4000109c <__call_r_lld_con_data_flow_set>:
4000109c: 3a21b06f j 4001c43e <r_lld_con_data_flow_set>
400010a0 <__call_r_lld_con_data_len_update>:
400010a0: 61c1b06f j 4001c6bc <r_lld_con_data_len_update>
400010a4 <__call_r_lld_con_data_tx>:
400010a4: 26a1b06f j 4001c30e <r_lld_con_data_tx>
400010a8 <__call_r_lld_con_enc_key_load>:
400010a8: 3eb1b06f j 4001cc92 <r_lld_con_enc_key_load>
400010ac <__call_r_lld_con_event_counter_get>:
400010ac: 0471b06f j 4001c8f2 <r_lld_con_event_counter_get>
400010b0 <__call_r_lld_con_evt_canceled_cbk>:
400010b0: 0f21a06f j 4001b1a2 <r_lld_con_evt_canceled_cbk>
400010b4 <__call_r_lld_con_evt_duration_min_get>:
400010b4: 5581806f j 4001960c <r_lld_con_evt_duration_min_get>
400010b8 <__call_r_lld_con_evt_max_eff_time_cal>:
400010b8: 2281806f j 400192e0 <r_lld_con_evt_max_eff_time_cal>
400010bc <__call_r_lld_con_evt_sd_evt_time_get>:
400010bc: 12a1c06f j 4001d1e6 <r_lld_con_evt_sd_evt_time_get>
400010c0 <__call_r_lld_con_evt_start_cbk>:
400010c0: 3f31906f j 4001acb2 <r_lld_con_evt_start_cbk>
400010c4 <__call_r_lld_con_evt_time_update>:
400010c4: 2601806f j 40019324 <r_lld_con_evt_time_update>
400010c8 <__call_r_lld_con_free_all_tx_buf>:
400010c8: 02a1c06f j 4001d0f2 <r_lld_con_free_all_tx_buf>
400010cc <__call_r_lld_con_frm_cbk>:
400010cc: 5121a06f j 4001b5de <r_lld_con_frm_cbk>
400010d0 <__call_r_lld_con_frm_isr>:
400010d0: 1761a06f j 4001b246 <r_lld_con_frm_isr>
400010d4 <__call_r_lld_con_frm_skip_isr>:
400010d4: 4061a06f j 4001b4da <r_lld_con_frm_skip_isr>
400010d8 <__call_r_lld_con_init>:
400010d8: 78b1b06f j 4001d062 <r_lld_con_init>
400010dc <__call_r_lld_con_llcp_tx>:
400010dc: 0e81b06f j 4001c1c4 <r_lld_con_llcp_tx>
400010e0 <__call_r_lld_con_max_lat_calc>:
400010e0: 0a01806f j 40019180 <r_lld_con_max_lat_calc>
400010e4 <__call_r_lld_con_offset_get>:
400010e4: 5ef1b06f j 4001ced2 <r_lld_con_offset_get>
400010e8 <__call_r_lld_con_param_update>:
400010e8: 4821b06f j 4001c56a <r_lld_con_param_update>
400010ec <__call_r_lld_con_phys_update>:
400010ec: 6541b06f j 4001c740 <r_lld_con_phys_update>
400010f0 <__call_r_lld_con_pref_slave_evt_dur_set>:
400010f0: 6eb1b06f j 4001cfda <r_lld_con_pref_slave_evt_dur_set>
400010f4 <__call_r_lld_con_pref_slave_latency_set>:
400010f4: 67f1b06f j 4001cf72 <r_lld_con_pref_slave_latency_set>
400010f8 <__call_r_lld_con_rssi_get>:
400010f8: 57b1b06f j 4001ce72 <r_lld_con_rssi_get>
400010fc <__call_r_lld_con_rx>:
400010fc: 1181906f j 4001a214 <r_lld_con_rx>
40001100 <__call_r_lld_con_rx_channel_assess>:
40001100: 4711806f j 40019d70 <r_lld_con_rx_channel_assess>
40001104 <__call_r_lld_con_rx_enc>:
40001104: 2f91b06f j 4001cbfc <r_lld_con_rx_enc>
40001108 <__call_r_lld_con_rx_isr>:
40001108: 3381a06f j 4001b440 <r_lld_con_rx_isr>
4000110c <__call_r_lld_con_rx_link_info_check>:
4000110c: 6391806f j 40019f44 <r_lld_con_rx_link_info_check>
40001110 <__call_r_lld_con_rx_llcp_check>:
40001110: 5091806f j 40019e18 <r_lld_con_rx_llcp_check>
40001114 <__call_r_lld_con_rx_sync_time_update>:
40001114: 73b1806f j 4001a04e <r_lld_con_rx_sync_time_update>
40001118 <__call_r_lld_con_sched>:
40001118: 0631806f j 4001997a <r_lld_con_sched>
4000111c <__call_r_lld_con_set_tx_power>:
4000111c: 7ef1706f j 4001910a <r_lld_con_set_tx_power>
40001120 <__call_r_lld_con_start>:
40001120: 52a1a06f j 4001b64a <r_lld_con_start>
40001124 <__call_r_lld_con_stop>:
40001124: 0001b06f j 4001c124 <r_lld_con_stop>
40001128 <__call_r_lld_con_tx>:
40001128: 26c1906f j 4001a394 <r_lld_con_tx>
4000112c <__call_r_lld_con_tx_enc>:
4000112c: 23b1b06f j 4001cb66 <r_lld_con_tx_enc>
40001130 <__call_r_lld_con_tx_isr>:
40001130: 34e1a06f j 4001b47e <r_lld_con_tx_isr>
40001134 <__call_r_lld_con_tx_len_update>:
40001134: 10c1806f j 40019240 <r_lld_con_tx_len_update>
40001138 <__call_r_lld_con_tx_len_update_for_intv>:
40001138: 6be1b06f j 4001c7f6 <r_lld_con_tx_len_update_for_intv>
4000113c <__call_r_lld_con_tx_len_update_for_rate>:
4000113c: 7221b06f j 4001c85e <r_lld_con_tx_len_update_for_rate>
40001140 <__call_r_lld_con_tx_prog>:
40001140: 25b1906f j 4001ab9a <r_lld_con_tx_prog>
40001144 <__call_r_lld_conn_dynamic_pti_process>:
40001144: 55b1706f j 40018e9e <r_lld_conn_dynamic_pti_process>
40001148 <__call_r_lld_continue_scan_rx_isr_end_process>:
40001148: 4fe2306f j 40024646 <r_lld_continue_scan_rx_isr_end_process>
4000114c <__call_r_lld_ext_scan_dynamic_pti_process>:
4000114c: 7f52206f j 40024140 <r_lld_ext_scan_dynamic_pti_process>
40001150 <__call_r_lld_hw_cca_end_isr>:
40001150: 27b2006f j 40021bca <r_lld_hw_cca_end_isr>
40001154 <__call_r_lld_hw_cca_evt_handler>:
40001154: 2272006f j 40021b7a <r_lld_hw_cca_evt_handler>
40001158 <__call_r_lld_hw_cca_isr>:
40001158: 2492006f j 40021ba0 <r_lld_hw_cca_isr>
4000115c <__call_r_lld_init_cal_anchor_point>:
4000115c: 6b51c06f j 4001e010 <r_lld_init_cal_anchor_point>
40001160 <__call_r_lld_init_compute_winoffset>:
40001160: 2a41c06f j 4001d404 <r_lld_init_compute_winoffset>
40001164 <__call_r_lld_init_connect_req_pack>:
40001164: 20f1d06f j 4001eb72 <r_lld_init_connect_req_pack>
40001168 <__call_r_lld_init_end>:
40001168: 1441c06f j 4001d2ac <r_lld_init_end>
4000116c <__call_r_lld_init_evt_canceled_cbk>:
4000116c: 0d91d06f j 4001ea44 <r_lld_init_evt_canceled_cbk>
40001170 <__call_r_lld_init_evt_start_cbk>:
40001170: 6661d06f j 4001e7d6 <r_lld_init_evt_start_cbk>
40001174 <__call_r_lld_init_frm_cbk>:
40001174: 59a1d06f j 4001e70e <r_lld_init_frm_cbk>
40001178 <__call_r_lld_init_frm_eof_isr>:
40001178: 2aa1d06f j 4001e422 <r_lld_init_frm_eof_isr>
4000117c <__call_r_lld_init_frm_skip_isr>:
4000117c: 44c1d06f j 4001e5c8 <r_lld_init_frm_skip_isr>
40001180 <__call_r_lld_init_init>:
40001180: 29d1d06f j 4001ec1c <r_lld_init_init>
40001184 <__call_r_lld_init_process_pkt_rx>:
40001184: 4f71c06f j 4001de7a <r_lld_init_process_pkt_rx>
40001188 <__call_r_lld_init_process_pkt_rx_adv_ext_ind>:
40001188: 0c31c06f j 4001da4a <r_lld_init_process_pkt_rx_adv_ext_ind>
4000118c <__call_r_lld_init_process_pkt_rx_adv_ind_or_direct_ind>:
4000118c: 6821c06f j 4001d80e <r_lld_init_process_pkt_rx_adv_ind_or_direct_ind>
40001190 <__call_r_lld_init_process_pkt_rx_aux_connect_rsp>:
40001190: 2951c06f j 4001dc24 <r_lld_init_process_pkt_rx_aux_connect_rsp>
40001194 <__call_r_lld_init_process_pkt_tx>:
40001194: 79f1c06f j 4001e132 <r_lld_init_process_pkt_tx>
40001198 <__call_r_lld_init_process_pkt_tx_cal_con_timestamp>:
40001198: 6871c06f j 4001e01e <r_lld_init_process_pkt_tx_cal_con_timestamp>
4000119c <__call_r_lld_init_sched>:
4000119c: 2c81c06f j 4001d464 <r_lld_init_sched>
400011a0 <__call_r_lld_init_set_tx_power>:
400011a0: 0c21c06f j 4001d262 <r_lld_init_set_tx_power>
400011a4 <__call_r_lld_init_start>:
400011a4: 2f51d06f j 4001ec98 <r_lld_init_start>
400011a8 <__call_r_lld_init_stop>:
400011a8: 2db1e06f j 4001fc82 <r_lld_init_stop>
400011ac <__call_r_lld_instant_proc_end>:
400011ac: 6ba1806f j 40019866 <r_lld_instant_proc_end>
400011b0 <__call_r_lld_llcp_rx_ind_handler>:
400011b0: 5750f06f j 40010f24 <r_lld_llcp_rx_ind_handler>
400011b4 <__call_r_lld_per_adv_ch_map_update>:
400011b4: 60f2206f j 40023fc2 <r_lld_per_adv_ch_map_update>
400011b8 <__call_r_lld_per_adv_chain_construct>:
400011b8: 5182106f j 400226d0 <r_lld_per_adv_chain_construct>
400011bc <__call_r_lld_per_adv_cleanup>:
400011bc: 6dd2006f j 40022098 <r_lld_per_adv_cleanup>
400011c0 <__call_r_lld_per_adv_coex_env_reset>:
400011c0: 20d2006f j 40021bcc <r_lld_per_adv_coex_env_reset>
400011c4 <__call_r_lld_per_adv_data_set>:
400011c4: 7aa2106f j 4002296e <r_lld_per_adv_data_set>
400011c8 <__call_r_lld_per_adv_data_update>:
400011c8: 4952206f j 40023e5c <r_lld_per_adv_data_update>
400011cc <__call_r_lld_per_adv_dynamic_pti_process>:
400011cc: 3372006f j 40021d02 <r_lld_per_adv_dynamic_pti_process>
400011d0 <__call_r_lld_per_adv_evt_canceled_cbk>:
400011d0: 5372106f j 40022f06 <r_lld_per_adv_evt_canceled_cbk>
400011d4 <__call_r_lld_per_adv_evt_start_cbk>:
400011d4: 3672106f j 40022d3a <r_lld_per_adv_evt_start_cbk>
400011d8 <__call_r_lld_per_adv_ext_pkt_prepare>:
400011d8: 0242106f j 400221fc <r_lld_per_adv_ext_pkt_prepare>
400011dc <__call_r_lld_per_adv_frm_cbk>:
400011dc: 1dc2206f j 400233b8 <r_lld_per_adv_frm_cbk>
400011e0 <__call_r_lld_per_adv_frm_isr>:
400011e0: 5952106f j 40022f74 <r_lld_per_adv_frm_isr>
400011e4 <__call_r_lld_per_adv_frm_skip_isr>:
400011e4: 0de2206f j 400232c2 <r_lld_per_adv_frm_skip_isr>
400011e8 <__call_r_lld_per_adv_init>:
400011e8: 23c2206f j 40023424 <r_lld_per_adv_init>
400011ec <__call_r_lld_per_adv_init_info_get>:
400011ec: 5652206f j 40023f50 <r_lld_per_adv_init_info_get>
400011f0 <__call_r_lld_per_adv_list_add>:
400011f0: 66a1f06f j 4002085a <r_lld_per_adv_list_add>
400011f4 <__call_r_lld_per_adv_list_rem>:
400011f4: 0171f06f j 40020a0a <r_lld_per_adv_list_rem>
400011f8 <__call_r_lld_per_adv_sched>:
400011f8: 7472006f j 4002213e <r_lld_per_adv_sched>
400011fc <__call_r_lld_per_adv_set_tx_power>:
400011fc: 6cd2006f j 400220c8 <r_lld_per_adv_set_tx_power>
40001200 <__call_r_lld_per_adv_start>:
40001200: 2ac2206f j 400234ac <r_lld_per_adv_start>
40001204 <__call_r_lld_per_adv_stop>:
40001204: 36f2206f j 40023d72 <r_lld_per_adv_stop>
40001208 <__call_r_lld_per_adv_sync_info_get>:
40001208: 4d12206f j 40023ed8 <r_lld_per_adv_sync_info_get>
4000120c <__call_r_lld_process_cca_data>:
4000120c: 0612006f j 40021a6c <r_lld_process_cca_data>
40001210 <__call_r_lld_ral_search>:
40001210: 2b51e06f j 4001fcc4 <r_lld_ral_search>
40001214 <__call_r_lld_read_clock>:
40001214: 28c1f06f j 400204a0 <r_lld_read_clock>
40001218 <__call_r_lld_res_list_add>:
40001218: 19b1f06f j 40020bb2 <r_lld_res_list_add>
4000121c <__call_r_lld_res_list_clear>:
4000121c: 1231f06f j 40020b3e <r_lld_res_list_clear>
40001220 <__call_r_lld_res_list_is_empty>:
40001220: 5d31f06f j 40020ff2 <r_lld_res_list_is_empty>
40001224 <__call_r_lld_res_list_local_rpa_get>:
40001224: 5491f06f j 40020f6c <r_lld_res_list_local_rpa_get>
40001228 <__call_r_lld_res_list_peer_rpa_get>:
40001228: 4bf1f06f j 40020ee6 <r_lld_res_list_peer_rpa_get>
4000122c <__call_r_lld_res_list_peer_update>:
4000122c: 4351f06f j 40020e60 <r_lld_res_list_peer_update>
40001230 <__call_r_lld_res_list_priv_mode_update>:
40001230: 3a51f06f j 40020dd4 <r_lld_res_list_priv_mode_update>
40001234 <__call_r_lld_res_list_rem>:
40001234: 32b1f06f j 40020d5e <r_lld_res_list_rem>
40001238 <__call_r_lld_reset_reg>:
40001238: 2141f06f j 4002044c <r_lld_reset_reg>
4000123c <__call_r_lld_rpa_renew>:
4000123c: 2861f06f j 400204c2 <r_lld_rpa_renew>
40001240 <__call_r_lld_rpa_renew_evt_canceled_cbk>:
40001240: 5371e06f j 4001ff76 <r_lld_rpa_renew_evt_canceled_cbk>
40001244 <__call_r_lld_rpa_renew_evt_start_cbk>:
40001244: 49d1e06f j 4001fee0 <r_lld_rpa_renew_evt_start_cbk>
40001248 <__call_r_lld_rpa_renew_instant_cbk>:
40001248: 33d1e06f j 4001fd84 <r_lld_rpa_renew_instant_cbk>
4000124c <__call_r_lld_rxdesc_check>:
4000124c: 7e11e06f j 4002022c <r_lld_rxdesc_check>
40001250 <__call_r_lld_rxdesc_free>:
40001250: 5991e06f j 4001ffe8 <r_lld_rxdesc_free>
40001254 <__call_r_lld_scan_create_sync>:
40001254: 1b92606f j 40027c0c <r_lld_scan_create_sync>
40001258 <__call_r_lld_scan_create_sync_cancel>:
40001258: 2512606f j 40027ca8 <r_lld_scan_create_sync_cancel>
4000125c <__call_r_lld_scan_end>:
4000125c: 2762306f j 400244d2 <r_lld_scan_end>
40001260 <__call_r_lld_scan_evt_canceled_cbk>:
40001260: 0bf2506f j 40026b1e <r_lld_scan_evt_canceled_cbk>
40001264 <__call_r_lld_scan_evt_start_cbk>:
40001264: 6942506f j 400268f8 <r_lld_scan_evt_start_cbk>
40001268 <__call_r_lld_scan_frm_cbk>:
40001268: 5c42506f j 4002682c <r_lld_scan_frm_cbk>
4000126c <__call_r_lld_scan_frm_eof_isr>:
4000126c: 2782506f j 400264e4 <r_lld_scan_frm_eof_isr>
40001270 <__call_r_lld_scan_frm_rx_isr>:
40001270: 4182506f j 40026688 <r_lld_scan_frm_rx_isr>
40001274 <__call_r_lld_scan_frm_skip_isr>:
40001274: 4522506f j 400266c6 <r_lld_scan_frm_skip_isr>
40001278 <__call_r_lld_scan_init>:
40001278: 1f92506f j 40026c70 <r_lld_scan_init>
4000127c <__call_r_lld_scan_params_update>:
4000127c: 1292606f j 40027ba4 <r_lld_scan_params_update>
40001280 <__call_r_lld_scan_process_pkt_rx>:
40001280: 59a2406f j 4002581a <r_lld_scan_process_pkt_rx>
40001284 <__call_r_lld_scan_process_pkt_rx_adv_rep>:
40001284: 5a82306f j 4002482c <r_lld_scan_process_pkt_rx_adv_rep>
40001288 <__call_r_lld_scan_process_pkt_rx_aux_adv_ind>:
40001288: 2fb2306f j 40024d82 <r_lld_scan_process_pkt_rx_aux_adv_ind>
4000128c <__call_r_lld_scan_process_pkt_rx_aux_chain_ind>:
4000128c: 2442406f j 400254d0 <r_lld_scan_process_pkt_rx_aux_chain_ind>
40001290 <__call_r_lld_scan_process_pkt_rx_aux_scan_rsp>:
40001290: 06e2406f j 400252fe <r_lld_scan_process_pkt_rx_aux_scan_rsp>
40001294 <__call_r_lld_scan_process_pkt_rx_ext_adv>:
40001294: 40c2406f j 400256a0 <r_lld_scan_process_pkt_rx_ext_adv>
40001298 <__call_r_lld_scan_process_pkt_rx_ext_adv_ind>:
40001298: 0072306f j 40024a9e <r_lld_scan_process_pkt_rx_ext_adv_ind>
4000129c <__call_r_lld_scan_process_pkt_rx_legacy_adv>:
4000129c: 4062306f j 400246a2 <r_lld_scan_process_pkt_rx_legacy_adv>
400012a0 <__call_r_lld_scan_restart>:
400012a0: 2612506f j 40026d00 <r_lld_scan_restart>
400012a4 <__call_r_lld_scan_sched>:
400012a4: 6e12406f j 40026184 <r_lld_scan_sched>
400012a8 <__call_r_lld_scan_set_tx_power>:
400012a8: 1b42306f j 4002445c <r_lld_scan_set_tx_power>
400012ac <__call_r_lld_scan_start>:
400012ac: 3112506f j 40026dbc <r_lld_scan_start>
400012b0 <__call_r_lld_scan_stop>:
400012b0: 0b32606f j 40027b62 <r_lld_scan_stop>
400012b4 <__call_r_lld_scan_sync_accept>:
400012b4: 0b52406f j 40025b68 <r_lld_scan_sync_accept>
400012b8 <__call_r_lld_scan_sync_info_unpack>:
400012b8: 7a22406f j 40025a5a <r_lld_scan_sync_info_unpack>
400012bc <__call_r_lld_scan_trunc_ind>:
400012bc: 7242406f j 400259e0 <r_lld_scan_trunc_ind>
400012c0 <__call_r_lld_sw_cca_evt_handler>:
400012c0: 0732006f j 40021b32 <r_lld_sw_cca_evt_handler>
400012c4 <__call_r_lld_sw_cca_isr>:
400012c4: 0952006f j 40021b58 <r_lld_sw_cca_isr>
400012c8 <__call_r_lld_sync_ch_map_update>:
400012c8: 02f2806f j 40029af6 <r_lld_sync_ch_map_update>
400012cc <__call_r_lld_sync_cleanup>:
400012cc: 4a72606f j 40027f72 <r_lld_sync_cleanup>
400012d0 <__call_r_lld_sync_evt_canceled_cbk>:
400012d0: 78b2706f j 4002925a <r_lld_sync_evt_canceled_cbk>
400012d4 <__call_r_lld_sync_evt_start_cbk>:
400012d4: 5df2706f j 400290b2 <r_lld_sync_evt_start_cbk>
400012d8 <__call_r_lld_sync_frm_cbk>:
400012d8: 5052706f j 40028fdc <r_lld_sync_frm_cbk>
400012dc <__call_r_lld_sync_frm_eof_isr>:
400012dc: 2d72706f j 40028db2 <r_lld_sync_frm_eof_isr>
400012e0 <__call_r_lld_sync_frm_rx_isr>:
400012e0: 4252706f j 40028f04 <r_lld_sync_frm_rx_isr>
400012e4 <__call_r_lld_sync_frm_skip_isr>:
400012e4: 45d2706f j 40028f40 <r_lld_sync_frm_skip_isr>
400012e8 <__call_r_lld_sync_init>:
400012e8: 0022806f j 400292ea <r_lld_sync_init>
400012ec <__call_r_lld_sync_process_pkt_rx>:
400012ec: 3662706f j 40028652 <r_lld_sync_process_pkt_rx>
400012f0 <__call_r_lld_sync_process_pkt_rx_aux_sync_ind>:
400012f0: 5832606f j 40028072 <r_lld_sync_process_pkt_rx_aux_sync_ind>
400012f4 <__call_r_lld_sync_process_pkt_rx_pkt_check>:
400012f4: 7232606f j 40028216 <r_lld_sync_process_pkt_rx_pkt_check>
400012f8 <__call_r_lld_sync_scan_dynamic_pti_process>:
400012f8: 1ff2606f j 40027cf6 <r_lld_sync_scan_dynamic_pti_process>
400012fc <__call_r_lld_sync_sched>:
400012fc: 7c42706f j 40028ac0 <r_lld_sync_sched>
40001300 <__call_r_lld_sync_start>:
40001300: 0722806f j 40029372 <r_lld_sync_start>
40001304 <__call_r_lld_sync_stop>:
40001304: 0532806f j 40029b56 <r_lld_sync_stop>
40001308 <__call_r_lld_sync_trunc_ind>:
40001308: 4f52606f j 40027ffc <r_lld_sync_trunc_ind>
4000130c <__call_r_lld_test_cleanup>:
4000130c: 13d2806f j 40029c48 <r_lld_test_cleanup>
40001310 <__call_r_lld_test_evt_canceled_cbk>:
40001310: 3572806f j 40029e66 <r_lld_test_evt_canceled_cbk>
40001314 <__call_r_lld_test_evt_start_cbk>:
40001314: 1b92806f j 40029ccc <r_lld_test_evt_start_cbk>
40001318 <__call_r_lld_test_freq2chnl>:
40001318: 1872806f j 40029c9e <r_lld_test_freq2chnl>
4000131c <__call_r_lld_test_frm_cbk>:
4000131c: 5752806f j 4002a090 <r_lld_test_frm_cbk>
40001320 <__call_r_lld_test_frm_isr>:
40001320: 4492806f j 40029f68 <r_lld_test_frm_isr>
40001324 <__call_r_lld_test_init>:
40001324: 6562906f j 4002a97a <r_lld_test_init>
40001328 <__call_r_lld_test_rx_isr>:
40001328: 3ef2806f j 40029f16 <r_lld_test_rx_isr>
4000132c <__call_r_lld_test_set_tx_power>:
4000132c: 0a72806f j 40029bd2 <r_lld_test_set_tx_power>
40001330 <__call_r_lld_test_start>:
40001330: 5bd2806f j 4002a0ec <r_lld_test_start>
40001334 <__call_r_lld_test_stop>:
40001334: 5542906f j 4002a888 <r_lld_test_stop>
40001338 <__call_r_lld_update_rxbuf>:
40001338: 0882006f j 400213c0 <r_lld_update_rxbuf>
4000133c <__call_r_lld_update_rxbuf_isr>:
4000133c: 24a2006f j 40021586 <r_lld_update_rxbuf_isr>
40001340 <__call_r_lld_white_list_add>:
40001340: 2581f06f j 40020598 <r_lld_white_list_add>
40001344 <__call_r_lld_white_list_rem>:
40001344: 3f01f06f j 40020734 <r_lld_white_list_rem>
40001348 <__call_r_llm_activity_free_get>:
40001348: 2602b06f j 4002c5a8 <r_llm_activity_free_get>
4000134c <__call_r_llm_activity_free_set>:
4000134c: 34c2b06f j 4002c698 <r_llm_activity_free_set>
40001350 <__call_r_llm_activity_syncing_get>:
40001350: 3802b06f j 4002c6d0 <r_llm_activity_syncing_get>
40001354 <__call_r_llm_adv_con_len_check>:
40001354: 0392906f j 4002ab8c <r_llm_adv_con_len_check>
40001358 <__call_r_llm_adv_hdl_to_id>:
40001358: 2732906f j 4002adca <r_llm_adv_hdl_to_id>
4000135c <__call_r_llm_adv_rep_flow_control_check>:
4000135c: 7882b06f j 4002cae4 <r_llm_adv_rep_flow_control_check>
40001360 <__call_r_llm_adv_rep_flow_control_update>:
40001360: 7d62b06f j 4002cb36 <r_llm_adv_rep_flow_control_update>
40001364 <__call_r_llm_adv_reports_list_check>:
40001364: 5b82b06f j 4002c91c <r_llm_adv_reports_list_check>
40001368 <__call_r_llm_adv_set_all_release>:
40001368: 4522a06f j 4002b7ba <r_llm_adv_set_all_release>
4000136c <__call_r_llm_adv_set_dft_params>:
4000136c: 14f2906f j 4002acba <r_llm_adv_set_dft_params>
40001370 <__call_r_llm_adv_set_release>:
40001370: 6322906f j 4002a9a2 <r_llm_adv_set_release>
40001374 <__call_r_llm_aes_res_cb>:
40001374: 63c2a06f j 4002b9b0 <r_llm_aes_res_cb>
40001378 <__call_r_llm_ble_update_adv_flow_control>:
40001378: 58a2b06f j 4002c902 <r_llm_ble_update_adv_flow_control>
4000137c <__call_r_llm_ch_map_update>:
4000137c: 01a2b06f j 4002c396 <r_llm_ch_map_update>
40001380 <__call_r_llm_cmd_cmp_send>:
40001380: 3e52a06f j 4002bf64 <r_llm_cmd_cmp_send>
40001384 <__call_r_llm_cmd_stat_send>:
40001384: 42f2a06f j 4002bfb2 <r_llm_cmd_stat_send>
40001388 <__call_r_llm_dev_list_empty_entry>:
40001388: 5d72a06f j 4002c15e <r_llm_dev_list_empty_entry>
4000138c <__call_r_llm_dev_list_search>:
4000138c: 5f92a06f j 4002c184 <r_llm_dev_list_search>
40001390 <__call_r_llm_env_adv_dup_filt_deinit>:
40001390: 7f22c06f j 4002db82 <r_llm_env_adv_dup_filt_deinit>
40001394 <__call_r_llm_env_adv_dup_filt_init>:
40001394: 7702c06f j 4002db04 <r_llm_env_adv_dup_filt_init>
40001398 <__call_r_llm_init_ble_adv_report_flow_contol>:
40001398: 53e2b06f j 4002c8d6 <r_llm_init_ble_adv_report_flow_contol>
4000139c <__call_r_llm_is_dev_connected>:
4000139c: 4512a06f j 4002bfec <r_llm_is_dev_connected>
400013a0 <__call_r_llm_is_dev_synced>:
400013a0: 4ff2a06f j 4002c09e <r_llm_is_dev_synced>
400013a4 <__call_r_llm_is_non_con_act_ongoing_check>:
400013a4: 52e2a06f j 4002b8d2 <r_llm_is_non_con_act_ongoing_check>
400013a8 <__call_r_llm_is_wl_accessible>:
400013a8: 4962a06f j 4002b83e <r_llm_is_wl_accessible>
400013ac <__call_r_llm_le_evt_mask_check>:
400013ac: 7912a06f j 4002c33c <r_llm_le_evt_mask_check>
400013b0 <__call_r_llm_le_features_get>:
400013b0: 7a92a06f j 4002c358 <r_llm_le_features_get>
400013b4 <__call_r_llm_link_disc>:
400013b4: 64b2a06f j 4002c1fe <r_llm_link_disc>
400013b8 <__call_r_llm_master_ch_map_get>:
400013b8: 7792a06f j 4002c330 <r_llm_master_ch_map_get>
400013bc <__call_r_llm_msg_handler_tab_p_get>:
400013bc: 1eb2c06f j 4002dda6 <r_llm_msg_handler_tab_p_get>
400013c0 <__call_r_llm_no_activity>:
400013c0: 5842a06f j 4002b944 <r_llm_no_activity>
400013c4 <__call_r_llm_per_adv_slot_dur>:
400013c4: 0552906f j 4002ac18 <r_llm_per_adv_slot_dur>
400013c8 <__call_r_llm_plan_elt_get>:
400013c8: 7b72a06f j 4002c37e <r_llm_plan_elt_get>
400013cc <__call_r_llm_rx_path_comp_get>:
400013cc: 7a52a06f j 4002c370 <r_llm_rx_path_comp_get>
400013d0 <__call_r_llm_scan_start>:
400013d0: 35c2b06f j 4002c72c <r_llm_scan_start>
400013d4 <__call_r_llm_scan_sync_acad_attach>:
400013d4: 6882c06f j 4002da5c <r_llm_scan_sync_acad_attach>
400013d8 <__call_r_llm_scan_sync_acad_detach>:
400013d8: 6dc2c06f j 4002dab4 <r_llm_scan_sync_acad_detach>
400013dc <__call_r_llm_send_adv_lost_event_to_host>:
400013dc: 4902b06f j 4002c86c <r_llm_send_adv_lost_event_to_host>
400013e0 <__call_r_llm_tx_path_comp_get>:
400013e0: 7832a06f j 4002c362 <r_llm_tx_path_comp_get>
400013e4 <__call_r_misc_deinit>:
400013e4: 22d2c06f j 4002de10 <r_misc_deinit>
400013e8 <__call_r_misc_free_em_buf_in_isr>:
400013e8: 22b2c06f j 4002de12 <r_misc_free_em_buf_in_isr>
400013ec <__call_r_misc_init>:
400013ec: 2092c06f j 4002ddf4 <r_misc_init>
400013f0 <__call_r_misc_msg_handler_tab_p_get>:
400013f0: 1fb2c06f j 4002ddea <r_misc_msg_handler_tab_p_get>
400013f4 <__call_r_notEqual256>:
400013f4: 3650306f j 40004f58 <r_notEqual256>
400013f8 <__call_r_phy_upd_proc_start>:
400013f8: 3b11106f j 40012fa8 <r_phy_upd_proc_start>
400013fc <__call_r_platform_reset>:
400013fc: 1f30106f j 40002dee <r_platform_reset>
40001400 <__call_r_register_esp_vendor_cmd_handler>:
40001400: 43a3006f j 4003183a <r_register_esp_vendor_cmd_handler>
40001404 <__call_r_rf_em_init>:
40001404: 3c12c06f j 4002dfc4 <r_rf_em_init>
40001408 <__call_r_rf_force_agc_enable>:
40001408: 46d2c06f j 4002e074 <r_rf_force_agc_enable>
4000140c <__call_r_rf_reg_rd>:
4000140c: 3b32c06f j 4002dfbe <r_rf_reg_rd>
40001410 <__call_r_rf_reg_wr>:
40001410: 3b32c06f j 4002dfc2 <r_rf_reg_wr>
40001414 <__call_r_rf_reset>:
40001414: 45f2c06f j 4002e072 <r_rf_reset>
40001418 <__call_r_rf_rssi_convert>:
40001418: 40f2c06f j 4002e026 <r_rf_rssi_convert>
4000141c <__call_r_rf_rw_v9_le_disable>:
4000141c: 4b20206f j 400038ce <r_rf_rw_v9_le_disable>
40001420 <__call_r_rf_rw_v9_le_enable>:
40001420: 4a00206f j 400038c0 <r_rf_rw_v9_le_enable>
40001424 <__call_r_rf_sleep>:
40001424: 4632c06f j 4002e086 <r_rf_sleep>
40001428 <__call_r_rf_txpwr_cs_get>:
40001428: 4052c06f j 4002e02c <r_rf_txpwr_cs_get>
4000142c <__call_r_rf_txpwr_dbm_get>:
4000142c: 44b2c06f j 4002e076 <r_rf_txpwr_dbm_get>
40001430 <__call_r_rf_util_cs_fmt_convert>:
40001430: 1ce2d06f j 4002e5fe <r_rf_util_cs_fmt_convert>
40001434 <__call_r_rw_crypto_aes_ccm>:
40001434: 6a30006f j 400022d6 <r_rw_crypto_aes_ccm>
40001438 <__call_r_rw_crypto_aes_encrypt>:
40001438: 63e0106f j 40002a76 <r_rw_crypto_aes_encrypt>
4000143c <__call_r_rw_crypto_aes_init>:
4000143c: 5460106f j 40002982 <r_rw_crypto_aes_init>
40001440 <__call_r_rw_crypto_aes_k1>:
40001440: 1d00106f j 40002610 <r_rw_crypto_aes_k1>
40001444 <__call_r_rw_crypto_aes_k2>:
40001444: 3680106f j 400027ac <r_rw_crypto_aes_k2>
40001448 <__call_r_rw_crypto_aes_k3>:
40001448: 4400106f j 40002888 <r_rw_crypto_aes_k3>
4000144c <__call_r_rw_crypto_aes_k4>:
4000144c: 4ee0106f j 4000293a <r_rw_crypto_aes_k4>
40001450 <__call_r_rw_crypto_aes_rand>:
40001450: 6a60106f j 40002af6 <r_rw_crypto_aes_rand>
40001454 <__call_r_rw_crypto_aes_result_handler>:
40001454: 6fc0106f j 40002b50 <r_rw_crypto_aes_result_handler>
40001458 <__call_r_rw_crypto_aes_s1>:
40001458: 7ee0106f j 40002c46 <r_rw_crypto_aes_s1>
4000145c <__call_r_rw_cryto_aes_cmac>:
4000145c: 7310006f j 4000238c <r_rw_cryto_aes_cmac>
40001460 <__call_r_rw_v9_init_em_radio_table>:
40001460: 28a0206f j 400036ea <r_rw_v9_init_em_radio_table>
40001464 <__call_r_rwble_isr>:
40001464: 1e62d06f j 4002e64a <r_rwble_isr>
40001468 <__call_r_rwble_sleep_enter>:
40001468: 4be2d06f j 4002e926 <r_rwble_sleep_enter>
4000146c <__call_r_rwble_sleep_wakeup_end>:
4000146c: 52e2d06f j 4002e99a <r_rwble_sleep_wakeup_end>
40001470 <__call_r_rwbtdm_isr_wrapper>:
40001470: 6fc0806f j 40009b6c <r_rwbtdm_isr_wrapper>
40001474 <__call_r_rwip_active_check>:
40001474: 4ef2d06f j 4002f162 <r_rwip_active_check>
40001478 <__call_r_rwip_aes_encrypt>:
40001478: 72b2d06f j 4002f3a2 <r_rwip_aes_encrypt>
4000147c <__call_r_rwip_assert>:
4000147c: 23a2e06f j 4002f6b6 <r_rwip_assert>
40001480 <__call_r_rwip_crypt_evt_handler>:
40001480: 6cc2d06f j 4002eb4c <r_rwip_crypt_evt_handler>
40001484 <__call_r_rwip_crypt_isr_handler>:
40001484: 7102d06f j 4002eb94 <r_rwip_crypt_isr_handler>
40001488 <__call_r_rwip_eif_get>:
40001488: 1c10106f j 40002e48 <r_rwip_eif_get>
4000148c <__call_r_rwip_half_slot_2_lpcycles>:
4000148c: 5ce2d06f j 4002ea5a <r_rwip_half_slot_2_lpcycles>
40001490 <__call_r_rwip_hus_2_lpcycles>:
40001490: 59c2d06f j 4002ea2c <r_rwip_hus_2_lpcycles>
40001494 <__call_r_rwip_isr>:
40001494: 0582e06f j 4002f4ec <r_rwip_isr>
40001498 <__call_r_rwip_lpcycles_2_hus>:
40001498: 5222d06f j 4002e9ba <r_rwip_lpcycles_2_hus>
4000149c <__call_r_rwip_prevent_sleep_clear>:
4000149c: 48b2d06f j 4002f126 <r_rwip_prevent_sleep_clear>
400014a0 <__call_r_rwip_prevent_sleep_set>:
400014a0: 44f2d06f j 4002f0ee <r_rwip_prevent_sleep_set>
400014a4 <__call_r_rwip_schedule>:
400014a4: 1882e06f j 4002f62c <r_rwip_schedule>
400014a8 <__call_r_rwip_sleep>:
400014a8: 20d2d06f j 4002eeb4 <r_rwip_sleep>
400014ac <__call_r_rwip_sw_int_handler>:
400014ac: 7302d06f j 4002ebdc <r_rwip_sw_int_handler>
400014b0 <__call_r_rwip_sw_int_req>:
400014b0: 0002e06f j 4002f4b0 <r_rwip_sw_int_req>
400014b4 <__call_r_rwip_time_get>:
400014b4: 1a92d06f j 4002ee5c <r_rwip_time_get>
400014b8 <__call_r_rwip_timer_10ms_handler>:
400014b8: 65c2d06f j 4002eb14 <r_rwip_timer_10ms_handler>
400014bc <__call_r_rwip_timer_10ms_set>:
400014bc: 4ab2d06f j 4002f166 <r_rwip_timer_10ms_set>
400014c0 <__call_r_rwip_timer_hs_handler>:
400014c0: 5e22d06f j 4002eaa2 <r_rwip_timer_hs_handler>
400014c4 <__call_r_rwip_timer_hs_set>:
400014c4: 5512d06f j 4002f214 <r_rwip_timer_hs_set>
400014c8 <__call_r_rwip_timer_hus_handler>:
400014c8: 6102d06f j 4002ead8 <r_rwip_timer_hus_handler>
400014cc <__call_r_rwip_timer_hus_set>:
400014cc: 5d72d06f j 4002f2a2 <r_rwip_timer_hus_set>
400014d0 <__call_r_rwip_wakeup>:
400014d0: 7382d06f j 4002ec08 <r_rwip_wakeup>
400014d4 <__call_r_rwip_wakeup_end>:
400014d4: 0412d06f j 4002ed14 <r_rwip_wakeup_end>
400014d8 <__call_r_rwip_wlcoex_set>:
400014d8: 1702e06f j 4002f648 <r_rwip_wlcoex_set>
400014dc <__call_r_sch_alarm_clear>:
400014dc: 4002e06f j 4002f8dc <r_sch_alarm_clear>
400014e0 <__call_r_sch_alarm_init>:
400014e0: 26a2e06f j 4002f74a <r_sch_alarm_init>
400014e4 <__call_r_sch_alarm_prog>:
400014e4: 1f42e06f j 4002f6d8 <r_sch_alarm_prog>
400014e8 <__call_r_sch_alarm_set>:
400014e8: 3322e06f j 4002f81a <r_sch_alarm_set>
400014ec <__call_r_sch_alarm_timer_isr>:
400014ec: 2822e06f j 4002f76e <r_sch_alarm_timer_isr>
400014f0 <__call_r_sch_arb_conflict_check>:
400014f0: 45c2e06f j 4002f94c <r_sch_arb_conflict_check>
400014f4 <__call_r_sch_arb_elt_cancel>:
400014f4: 50c2e06f j 4002fa00 <r_sch_arb_elt_cancel>
400014f8 <__call_r_sch_arb_event_start_isr>:
400014f8: 2cb2e06f j 4002ffc2 <r_sch_arb_event_start_isr>
400014fc <__call_r_sch_arb_init>:
400014fc: 6fe2e06f j 4002fbfa <r_sch_arb_init>
40001500 <__call_r_sch_arb_insert>:
40001500: 7422e06f j 4002fc42 <r_sch_arb_insert>
40001504 <__call_r_sch_arb_prog_timer>:
40001504: 66c2e06f j 4002fb70 <r_sch_arb_prog_timer>
40001508 <__call_r_sch_arb_remove>:
40001508: 2272e06f j 4002ff2e <r_sch_arb_remove>
4000150c <__call_r_sch_arb_sw_isr>:
4000150c: 41b2e06f j 40030126 <r_sch_arb_sw_isr>
40001510 <__call_r_sch_plan_chk>:
40001510: 1582f06f j 40030668 <r_sch_plan_chk>
40001514 <__call_r_sch_plan_clock_wrap_offset_update>:
40001514: 4952e06f j 400301a8 <r_sch_plan_clock_wrap_offset_update>
40001518 <__call_r_sch_plan_init>:
40001518: 0142f06f j 4003052c <r_sch_plan_init>
4000151c <__call_r_sch_plan_interval_req>:
4000151c: 7912e06f j 400304ac <r_sch_plan_interval_req>
40001520 <__call_r_sch_plan_offset_max_calc>:
40001520: 4af2e06f j 400301ce <r_sch_plan_offset_max_calc>
40001524 <__call_r_sch_plan_offset_req>:
40001524: 5732e06f j 40030296 <r_sch_plan_offset_req>
40001528 <__call_r_sch_plan_position_range_compute>:
40001528: 1562f06f j 4003067e <r_sch_plan_position_range_compute>
4000152c <__call_r_sch_plan_rem>:
4000152c: 0cc2f06f j 400305f8 <r_sch_plan_rem>
40001530 <__call_r_sch_plan_req>:
40001530: 0e02f06f j 40030610 <r_sch_plan_req>
40001534 <__call_r_sch_plan_set>:
40001534: 0242f06f j 40030558 <r_sch_plan_set>
40001538 <__call_r_sch_prog_end_isr>:
40001538: 5802f06f j 40030ab8 <r_sch_prog_end_isr>
4000153c <__call_r_sch_prog_init>:
4000153c: 2722f06f j 400307ae <r_sch_prog_init>
40001540 <__call_r_sch_prog_push>:
40001540: 0b72f06f j 40030df6 <r_sch_prog_push>
40001544 <__call_r_sch_prog_rx_isr>:
40001544: 2e02f06f j 40030824 <r_sch_prog_rx_isr>
40001548 <__call_r_sch_prog_skip_isr>:
40001548: 44c2f06f j 40030994 <r_sch_prog_skip_isr>
4000154c <__call_r_sch_prog_tx_isr>:
4000154c: 3902f06f j 400308dc <r_sch_prog_tx_isr>
40001550 <__call_r_sch_slice_bg_add>:
40001550: 4fd2f06f j 4003124c <r_sch_slice_bg_add>
40001554 <__call_r_sch_slice_bg_remove>:
40001554: 51f2f06f j 40031272 <r_sch_slice_bg_remove>
40001558 <__call_r_sch_slice_compute>:
40001558: 35b2f06f j 400310b2 <r_sch_slice_compute>
4000155c <__call_r_sch_slice_fg_add>:
4000155c: 5412f06f j 4003129c <r_sch_slice_fg_add>
40001560 <__call_r_sch_slice_fg_remove>:
40001560: 59b2f06f j 400312fa <r_sch_slice_fg_remove>
40001564 <__call_r_sch_slice_init>:
40001564: 46b2f06f j 400311ce <r_sch_slice_init>
40001568 <__call_r_sch_slice_per_add>:
40001568: 60f2f06f j 40031376 <r_sch_slice_per_add>
4000156c <__call_r_sch_slice_per_remove>:
4000156c: 66f2f06f j 400313da <r_sch_slice_per_remove>
40001570 <__call_r_sdk_config_get_bt_sleep_enable>:
40001570: 7252f06f j 40031494 <r_sdk_config_get_bt_sleep_enable>
40001574 <__call_r_sdk_config_get_hl_derived_opts>:
40001574: 6cd2f06f j 40031440 <r_sdk_config_get_hl_derived_opts>
40001578 <__call_r_sdk_config_get_opts>:
40001578: 6ed2f06f j 40031464 <r_sdk_config_get_opts>
4000157c <__call_r_sdk_config_get_priv_opts>:
4000157c: 7052f06f j 40031480 <r_sdk_config_get_priv_opts>
40001580 <__call_r_sdk_config_set_bt_sleep_enable>:
40001580: 70b2f06f j 4003148a <r_sdk_config_set_bt_sleep_enable>
40001584 <__call_r_sdk_config_set_hl_derived_opts>:
40001584: 6c72f06f j 4003144a <r_sdk_config_set_hl_derived_opts>
40001588 <__call_r_sdk_config_set_opts>:
40001588: 6e72f06f j 4003146e <r_sdk_config_set_opts>
4000158c <__call_r_specialModP256>:
4000158c: 0570306f j 40004de2 <r_specialModP256>
40001590 <__call_r_unloaded_area_init>:
40001590: 6fa0106f j 40002c8a <r_unloaded_area_init>
40001594 <__call_r_vhci_flow_off>:
40001594: 04e3006f j 400315e2 <r_vhci_flow_off>
40001598 <__call_r_vhci_flow_on>:
40001598: 0763006f j 4003160e <r_vhci_flow_on>
4000159c <__call_r_vhci_notify_host_send_available>:
4000159c: 0063006f j 400315a2 <r_vhci_notify_host_send_available>
400015a0 <__call_r_vhci_send_to_host>:
400015a0: 0ca3006f j 4003166a <r_vhci_send_to_host>
400015a4 <__call_r_vnd_hci_command_handler>:
400015a4: 2e63006f j 4003188a <r_vnd_hci_command_handler>
400015a8 <__call_r_vshci_init>:
400015a8: 13a3006f j 400316e2 <r_vshci_init>
400015ac <__call_vnd_hci_command_handler_wrapper>:
400015ac: 2183006f j 400317c4 <vnd_hci_command_handler_wrapper>
400015b0 <__call_esp_pp_rom_version_get>:
400015b0: 5c04106f j 40042b70 <esp_pp_rom_version_get>
400015b4 <__call_RC_GetBlockAckTime>:
400015b4: 0eb3d06f j 4003ee9e <RC_GetBlockAckTime>
400015b8 <__call_ebuf_list_remove>:
400015b8: 5223f06f j 40040ada <ebuf_list_remove>
400015bc <__call_esf_buf_alloc>:
400015bc: 7443f06f j 40040d00 <esf_buf_alloc>
400015c0 <__call_esf_buf_alloc_dynamic>:
400015c0: 52a3f06f j 40040aea <esf_buf_alloc_dynamic>
400015c4 <__call_esf_buf_recycle>:
400015c4: 3ca3f06f j 4004098e <esf_buf_recycle>
400015c8 <__call_GetAccess>:
400015c8: 25c3b06f j 4003c824 <GetAccess>
400015cc <__call_hal_mac_is_low_rate_enabled>:
400015cc: 4344006f j 40041a00 <hal_mac_is_low_rate_enabled>
400015d0 <__call_hal_mac_tx_get_blockack>:
400015d0: 34e3c06f j 4003d91e <hal_mac_tx_get_blockack>
400015d4 <__call_hal_mac_tx_set_ppdu>:
400015d4: 5793b06f j 4003d34c <hal_mac_tx_set_ppdu>
400015d8 <__call_ic_get_trc>:
400015d8: 58a4106f j 40042b62 <ic_get_trc>
400015dc <__call_ic_mac_deinit>:
400015dc: 0d13c06f j 4003deac <ic_mac_deinit>
400015e0 <__call_ic_mac_init>:
400015e0: 0b53c06f j 4003de94 <ic_mac_init>
400015e4 <__call_ic_interface_enabled>:
400015e4: 0f84206f j 400436dc <ic_interface_enabled>
400015e8 <__call_is_lmac_idle>:
400015e8: 3883b06f j 4003c970 <is_lmac_idle>
400015ec <__call_lmacAdjustTimestamp>:
400015ec: 3203b06f j 4003c90c <lmacAdjustTimestamp>
400015f0 <__call_lmacDiscardAgedMSDU>:
400015f0: 1423c06f j 4003d732 <lmacDiscardAgedMSDU>
400015f4 <__call_lmacDiscardMSDU>:
400015f4: 1503c06f j 4003d744 <lmacDiscardMSDU>
400015f8 <__call_lmacEndFrameExchangeSequence>:
400015f8: 3ba3c06f j 4003d9b2 <lmacEndFrameExchangeSequence>
400015fc <__call_lmacIsIdle>:
400015fc: 3583b06f j 4003c954 <lmacIsIdle>
40001600 <__call_lmacIsLongFrame>:
40001600: 4ac3b06f j 4003caac <lmacIsLongFrame>
40001604 <__call_lmacMSDUAged>:
40001604: 2d23b06f j 4003c8d6 <lmacMSDUAged>
40001608 <__call_lmacPostTxComplete>:
40001608: 7004106f j 40042d08 <lmacPostTxComplete>
4000160c <__call_lmacProcessAllTxTimeout>:
4000160c: 70e4106f j 40042d1a <lmacProcessAllTxTimeout>
40001610 <__call_lmacProcessCollisions>:
40001610: 71c4106f j 40042d2c <lmacProcessCollisions>
40001614 <__call_lmacProcessRxSucData>:
40001614: 6e24106f j 40042cf6 <lmacProcessRxSucData>
40001618 <__call_lmacReachLongLimit>:
40001618: 35e3c06f j 4003d976 <lmacReachLongLimit>
4000161c <__call_lmacReachShortLimit>:
4000161c: 3443c06f j 4003d960 <lmacReachShortLimit>
40001620 <__call_lmacRecycleMPDU>:
40001620: 2943b06f j 4003c8b4 <lmacRecycleMPDU>
40001624 <__call_lmacRxDone>:
40001624: 61c3c06f j 4003dc40 <lmacRxDone>
40001628 <__call_lmacSetTxFrame>:
40001628: 5fd3b06f j 4003d424 <lmacSetTxFrame>
4000162c <__call_lmacTxDone>:
4000162c: 3ac3b06f j 4003c9d8 <lmacTxDone>
40001630 <__call_lmacTxFrame>:
40001630: 4a03b06f j 4003cad0 <lmacTxFrame>
40001634 <__call_mac_tx_set_duration>:
40001634: 0a33b06f j 4003ced6 <mac_tx_set_duration>
40001638 <__call_mac_tx_set_htsig>:
40001638: 0d93b06f j 4003cf10 <mac_tx_set_htsig>
4000163c <__call_mac_tx_set_plcp0>:
4000163c: 6ba3b06f j 4003ccf6 <mac_tx_set_plcp0>
40001640 <__call_mac_tx_set_plcp1>:
40001640: 7843b06f j 4003cdc4 <mac_tx_set_plcp1>
40001644 <__call_mac_tx_set_plcp2>:
40001644: 0573b06f j 4003ce9a <mac_tx_set_plcp2>
40001648 <__call_pm_check_state>:
40001648: 3a53c06f j 4003e1ec <pm_check_state>
4000164c <__call_pm_disable_dream_timer>:
4000164c: 7483c06f j 4003dd94 <pm_disable_dream_timer>
40001650 <__call_pm_disable_sleep_delay_timer>:
40001650: 1ed3c06f j 4003e03c <pm_disable_sleep_delay_timer>
40001654 <__call_pm_dream>:
40001654: 0b93c06f j 4003df0c <pm_dream>
40001658 <__call_pm_mac_wakeup>:
40001658: 0993c06f j 4003def0 <pm_mac_wakeup>
4000165c <__call_pm_mac_sleep>:
4000165c: 0a33c06f j 4003defe <pm_mac_sleep>
40001660 <__call_pm_enable_active_timer>:
40001660: 6803c06f j 4003dce0 <pm_enable_active_timer>
40001664 <__call_pm_enable_sleep_delay_timer>:
40001664: 41a3d06f j 4003ea7e <pm_enable_sleep_delay_timer>
40001668 <__call_pm_local_tsf_process>:
40001668: 5273c06f j 4003e38e <pm_local_tsf_process>
4000166c <__call_pm_set_beacon_filter>:
4000166c: 5833c06f j 4003e3ee <pm_set_beacon_filter>
40001670 <__call_pm_is_in_wifi_slice_threshold>:
40001670: 6233c06f j 4003e492 <pm_is_in_wifi_slice_threshold>
40001674 <__call_pm_is_waked>:
40001674: 5f43c06f j 4003dc68 <pm_is_waked>
40001678 <__call_pm_keep_alive>:
40001678: 0723d06f j 4003e6ea <pm_keep_alive>
4000167c <__call_pm_on_beacon_rx>:
4000167c: 4583d06f j 4003ead4 <pm_on_beacon_rx>
40001680 <__call_pm_on_data_rx>:
40001680: 5663d06f j 4003ebe6 <pm_on_data_rx>
40001684 <__call_pm_on_tbtt>:
40001684: 43a3d06f j 4003eabe <pm_on_tbtt>
40001688 <__call_pm_parse_beacon>:
40001688: 6753c06f j 4003e4fc <pm_parse_beacon>
4000168c <__call_pm_process_tim>:
4000168c: 0fe3d06f j 4003e78a <pm_process_tim>
40001690 <__call_pm_rx_beacon_process>:
40001690: 2c23d06f j 4003e952 <pm_rx_beacon_process>
40001694 <__call_pm_rx_data_process>:
40001694: 3683d06f j 4003e9fc <pm_rx_data_process>
40001698 <__call_pm_sleep>:
40001698: 1bf3c06f j 4003e056 <pm_sleep>
4000169c <__call_pm_sleep_for>:
4000169c: 5de3c06f j 4003dc7a <pm_sleep_for>
400016a0 <__call_pm_tbtt_process>:
400016a0: 3ad3c06f j 4003e24c <pm_tbtt_process>
400016a4 <__call_ppAMPDU2Normal>:
400016a4: 4183e06f j 4003fabc <ppAMPDU2Normal>
400016a8 <__call_ppAssembleAMPDU>:
400016a8: 0713e06f j 4003ff18 <ppAssembleAMPDU>
400016ac <__call_ppCalFrameTimes>:
400016ac: 15d3d06f j 4003f008 <ppCalFrameTimes>
400016b0 <__call_ppCalSubFrameLength>:
400016b0: 28d3d06f j 4003f13c <ppCalSubFrameLength>
400016b4 <__call_ppCalTxAMPDULength>:
400016b4: 0cf3e06f j 4003ff82 <ppCalTxAMPDULength>
400016b8 <__call_ppCheckTxAMPDUlength>:
400016b8: 7363e06f j 4003fdee <ppCheckTxAMPDUlength>
400016bc <__call_ppDequeueRxq_Locked>:
400016bc: 5ed3f06f j 400414a8 <ppDequeueRxq_Locked>
400016c0 <__call_ppDequeueTxQ>:
400016c0: 2223c06f j 4003d8e2 <ppDequeueTxQ>
400016c4 <__call_ppEmptyDelimiterLength>:
400016c4: 4233d06f j 4003f2e6 <ppEmptyDelimiterLength>
400016c8 <__call_ppEnqueueRxq>:
400016c8: 5c53f06f j 4004148c <ppEnqueueRxq>
400016cc <__call_ppEnqueueTxDone>:
400016cc: 2d83b06f j 4003c9a4 <ppEnqueueTxDone>
400016d0 <__call_ppGetTxQFirstAvail_Locked>:
400016d0: 5d63b06f j 4003cca6 <ppGetTxQFirstAvail_Locked>
400016d4 <__call_ppGetTxframe>:
400016d4: 5dd3d06f j 4003f4b0 <ppGetTxframe>
400016d8 <__call_ppMapTxQueue>:
400016d8: 2883e06f j 4003f960 <ppMapTxQueue>
400016dc <__call_ppProcTxSecFrame>:
400016dc: 2933d06f j 4003f16e <ppProcTxSecFrame>
400016e0 <__call_ppProcessRxPktHdr>:
400016e0: 7ac3f06f j 40040e8c <ppProcessRxPktHdr>
400016e4 <__call_ppProcessTxQ>:
400016e4: 54a3e06f j 4003fc2e <ppProcessTxQ>
400016e8 <__call_ppRecordBarRRC>:
400016e8: 76f3b06f j 4003d656 <ppRecordBarRRC>
400016ec <__call_lmacRequestTxopQueue>:
400016ec: 14c3b06f j 4003c838 <lmacRequestTxopQueue>
400016f0 <__call_lmacReleaseTxopQueue>:
400016f0: 1963b06f j 4003c886 <lmacReleaseTxopQueue>
400016f4 <__call_ppRecycleAmpdu>:
400016f4: 77b3b06f j 4003d66e <ppRecycleAmpdu>
400016f8 <__call_ppRecycleRxPkt>:
400016f8: 5533f06f j 4004144a <ppRecycleRxPkt>
400016fc <__call_ppResortTxAMPDU>:
400016fc: 37f3e06f j 4004027a <ppResortTxAMPDU>
40001700 <__call_ppResumeTxAMPDU>:
40001700: 6d63e06f j 4003fdd6 <ppResumeTxAMPDU>
40001704 <__call_ppRxFragmentProc>:
40001704: 11d3f06f j 40041020 <ppRxFragmentProc>
40001708 <__call_ppRxPkt>:
40001708: 5cb3f06f j 400414d2 <ppRxPkt>
4000170c <__call_ppRxProtoProc>:
4000170c: 7e83f06f j 40040ef4 <ppRxProtoProc>
40001710 <__call_ppSearchTxQueue>:
40001710: 07e3e06f j 4003f78e <ppSearchTxQueue>
40001714 <__call_ppSearchTxframe>:
40001714: 6633d06f j 4003f576 <ppSearchTxframe>
40001718 <__call_ppSelectNextQueue>:
40001718: 7c93d06f j 4003f6e0 <ppSelectNextQueue>
4000171c <__call_ppSubFromAMPDU>:
4000171c: 6a43e06f j 4003fdc0 <ppSubFromAMPDU>
40001720 <__call_ppTask>:
40001720: 0383f06f j 40040758 <ppTask>
40001724 <__call_ppTxPkt>:
40001724: 3ed3d06f j 4003f310 <ppTxPkt>
40001728 <__call_ppTxProtoProc>:
40001728: 3293d06f j 4003f250 <ppTxProtoProc>
4000172c <__call_ppTxqUpdateBitmap>:
4000172c: 2603c06f j 4003d98c <ppTxqUpdateBitmap>
40001730 <__call_pp_coex_tx_request>:
40001730: 43a3e06f j 4003fb6a <pp_coex_tx_request>
40001734 <__call_pp_hdrsize>:
40001734: 79a3f06f j 40040ece <pp_hdrsize>
40001738 <__call_pp_post>:
40001738: 5d43d06f j 4003ed0c <pp_post>
4000173c <__call_pp_process_hmac_waiting_txq>:
4000173c: 7f53e06f j 40040730 <pp_process_hmac_waiting_txq>
40001740 <__call_rcGetAmpduSched>:
40001740: 7663e06f j 4003fea6 <rcGetAmpduSched>
40001744 <__call_rcUpdateRxDone>:
40001744: 6ac4006f j 40041df0 <rcUpdateRxDone>
40001748 <__call_rc_get_trc>:
40001748: 35c4106f j 40042aa4 <rc_get_trc>
4000174c <__call_rc_get_trc_by_index>:
4000174c: 3ca4106f j 40042b16 <rc_get_trc_by_index>
40001750 <__call_rcAmpduLowerRate>:
40001750: 6374006f j 40042586 <rcAmpduLowerRate>
40001754 <__call_rcampduuprate>:
40001754: 0284106f j 4004277c <rcampduuprate>
40001758 <__call_rcClearCurAMPDUSched>:
40001758: 5b74006f j 4004250e <rcClearCurAMPDUSched>
4000175c <__call_rcClearCurSched>:
4000175c: 4da4006f j 40041c36 <rcClearCurSched>
40001760 <__call_rcClearCurStat>:
40001760: 4c84006f j 40041c28 <rcClearCurStat>
40001764 <__call_rcGetSched>:
40001764: 3704006f j 40041ad4 <rcGetSched>
40001768 <__call_rcLowerSched>:
40001768: 5064006f j 40041c6e <rcLowerSched>
4000176c <__call_rcSetTxAmpduLimit>:
4000176c: 5d14006f j 4004253c <rcSetTxAmpduLimit>
40001770 <__call_rcTxUpdatePer>:
40001770: 5644006f j 40041cd4 <rcTxUpdatePer>
40001774 <__call_rcUpdateAckSnr>:
40001774: 46c4006f j 40041be0 <rcUpdateAckSnr>
40001778 <__call_rcUpdateRate>:
40001778: 09f4006f j 40042016 <rcUpdateRate>
4000177c <__call_rcUpdateTxDone>:
4000177c: 5c04006f j 40041d3c <rcUpdateTxDone>
40001780 <__call_rcUpdateTxDoneAmpdu2>:
40001780: 0604106f j 400427e0 <rcUpdateTxDoneAmpdu2>
40001784 <__call_rcUpSched>:
40001784: 30c4006f j 40041a90 <rcUpSched>
40001788 <__call_rssi_margin>:
40001788: 6d64006f j 40041e5e <rssi_margin>
4000178c <__call_rx11NRate2AMPDULimit>:
4000178c: 5d94006f j 40042564 <rx11NRate2AMPDULimit>
40001790 <__call_TRC_AMPDU_PER_DOWN_THRESHOLD>:
40001790: 3314006f j 400422c0 <TRC_AMPDU_PER_DOWN_THRESHOLD>
40001794 <__call_TRC_AMPDU_PER_UP_THRESHOLD>:
40001794: 6f94006f j 4004268c <TRC_AMPDU_PER_UP_THRESHOLD>
40001798 <__call_trc_calc_duration>:
40001798: 6e74006f j 4004267e <trc_calc_duration>
4000179c <__call_trc_isTxAmpduOperational>:
4000179c: 24a4006f j 400419e6 <trc_isTxAmpduOperational>
400017a0 <__call_trc_onAmpduOp>:
400017a0: 4374006f j 400423d6 <trc_onAmpduOp>
400017a4 <__call_TRC_PER_IS_GOOD>:
400017a4: 27e4006f j 40041a22 <TRC_PER_IS_GOOD>
400017a8 <__call_trc_SetTxAmpduState>:
400017a8: 4294006f j 400423d0 <trc_SetTxAmpduState>
400017ac <__call_trc_tid_isTxAmpduOperational>:
400017ac: 2464006f j 400419f2 <trc_tid_isTxAmpduOperational>
400017b0 <__call_trcAmpduSetState>:
400017b0: 4014006f j 400423b0 <trcAmpduSetState>
400017b4 <__call_wDevCheckBlockError>:
400017b4: 7ba4106f j 40042f6e <wDevCheckBlockError>
400017b8 <__call_wDev_AppendRxBlocks>:
400017b8: 6ec4106f j 40042ea4 <wDev_AppendRxBlocks>
400017bc <__call_wDev_DiscardFrame>:
400017bc: 0574106f j 40043012 <wDev_DiscardFrame>
400017c0 <__call_wDev_GetNoiseFloor>:
400017c0: 6764106f j 40042e36 <wDev_GetNoiseFloor>
400017c4 <__call_wDev_IndicateAmpdu>:
400017c4: 7174106f j 400436da <wDev_IndicateAmpdu>
400017c8 <__call_wDev_IndicateFrame>:
400017c8: 4754106f j 4004343c <wDev_IndicateFrame>
400017cc <__call_wdev_bank_store>:
400017cc: 06d4106f j 40043038 <wdev_bank_store>
400017d0 <__call_wdev_bank_load>:
400017d0: 0754106f j 40043044 <wdev_bank_load>
400017d4 <__call_wdev_mac_reg_load>:
400017d4: 0774106f j 4004304a <wdev_mac_reg_load>
400017d8 <__call_wdev_mac_reg_store>:
400017d8: 1174106f j 400430ee <wdev_mac_reg_store>
400017dc <__call_wdev_mac_special_reg_load>:
400017dc: 1b54106f j 40043190 <wdev_mac_special_reg_load>
400017e0 <__call_wdev_mac_special_reg_store>:
400017e0: 2134106f j 400431f2 <wdev_mac_special_reg_store>
400017e4 <__call_wdev_mac_wakeup>:
400017e4: 24d4106f j 40043230 <wdev_mac_wakeup>
400017e8 <__call_wdev_mac_sleep>:
400017e8: 3614106f j 40043348 <wdev_mac_sleep>
400017ec <__call_hal_mac_is_dma_enable>:
400017ec: 4be4106f j 40042caa <hal_mac_is_dma_enable>
400017f0 <__call_wDev_ProcessFiq>:
400017f0: 54e4106f j 40042d3e <wDev_ProcessFiq>
400017f4 <__call_wDev_ProcessRxSucData>:
400017f4: 6fd4106f j 400436f0 <wDev_ProcessRxSucData>
400017f8 <__call_wdevProcessRxSucDataAll>:
400017f8: 23a4206f j 40043a32 <wdevProcessRxSucDataAll>
400017fc <__call_wdev_csi_len_align>:
400017fc: 41f4106f j 4004341a <wdev_csi_len_align>
40001800 <__call_ppDequeueTxDone_Locked>:
40001800: 5e73f06f j 400415e6 <ppDequeueTxDone_Locked>
40001804 <__call_ppProcTxDone>:
40001804: 6913f06f j 40041694 <ppProcTxDone>
40001808 <__call_pm_tx_data_done_process>:
40001808: 4283d06f j 4003ec30 <pm_tx_data_done_process>
4000180c <__call_config_is_cache_tx_buf_enabled>:
4000180c: 3324206f j 40043b3e <config_is_cache_tx_buf_enabled>
40001810 <__call_ppMapWaitTxq>:
40001810: 0ca4006f j 400418da <ppMapWaitTxq>
40001814 <__call_ppProcessWaitingQueue>:
40001814: 03e4006f j 40041852 <ppProcessWaitingQueue>
40001818 <__call_ppDisableQueue>:
40001818: 00a4006f j 40041822 <ppDisableQueue>
4000181c <__call_pm_allow_tx>:
4000181c: 48a3d06f j 4003eca6 <pm_allow_tx>
40001820 <__call_esp_net80211_rom_version_get>:
40001820: 32e4206f j 40043b4e <esp_net80211_rom_version_get>
40001824 <__call_ampdu_dispatch>:
40001824: 1514306f j 40045174 <ampdu_dispatch>
40001828 <__call_ampdu_dispatch_all>:
40001828: 27d4306f j 400452a4 <ampdu_dispatch_all>
4000182c <__call_ampdu_dispatch_as_many_as_possible>:
4000182c: 1854306f j 400451b0 <ampdu_dispatch_as_many_as_possible>
40001830 <__call_ampdu_dispatch_movement>:
40001830: 2374306f j 40045266 <ampdu_dispatch_movement>
40001834 <__call_ampdu_dispatch_upto>:
40001834: 2fb4306f j 4004532e <ampdu_dispatch_upto>
40001838 <__call_chm_is_at_home_channel>:
40001838: 4004206f j 40043c38 <chm_is_at_home_channel>
4000183c <__call_cnx_node_is_existing>:
4000183c: 31c4206f j 40043b58 <cnx_node_is_existing>
40001840 <__call_cnx_node_search>:
40001840: 3804206f j 40043bc0 <cnx_node_search>
40001844 <__call_ic_ebuf_recycle_rx>:
40001844: 2aa4306f j 40044aee <ic_ebuf_recycle_rx>
40001848 <__call_ic_ebuf_recycle_tx>:
40001848: 7024206f j 40043f4a <ic_ebuf_recycle_tx>
4000184c <__call_ic_reset_rx_ba>:
4000184c: 4914306f j 400454dc <ic_reset_rx_ba>
40001850 <__call_ieee80211_align_eb>:
40001850: 2854206f j 400442d4 <ieee80211_align_eb>
40001854 <__call_ieee80211_ampdu_reorder>:
40001854: 4e54306f j 40045538 <ieee80211_ampdu_reorder>
40001858 <__call_ieee80211_ampdu_start_age_timer>:
40001858: 3eb4306f j 40045442 <ieee80211_ampdu_start_age_timer>
4000185c <__call_ieee80211_encap_esfbuf>:
4000185c: 2cf4206f j 4004432a <ieee80211_encap_esfbuf>
40001860 <__call_ieee80211_is_tx_allowed>:
40001860: 2054206f j 40044264 <ieee80211_is_tx_allowed>
40001864 <__call_ieee80211_output_pending_eb>:
40001864: 3ec4206f j 40043c50 <ieee80211_output_pending_eb>
40001868 <__call_ieee80211_output_process>:
40001868: 78a4206f j 40043ff2 <ieee80211_output_process>
4000186c <__call_ieee80211_set_tx_desc>:
4000186c: 1064306f j 40044972 <ieee80211_set_tx_desc>
40001870 <__call_sta_input>:
40001870: 28c4306f j 40044afc <sta_input>
40001874 <__call_wifi_get_macaddr>:
40001874: 20f4206f j 40044282 <wifi_get_macaddr>
40001878 <__call_wifi_rf_phy_disable>:
40001878: 7bb4306f j 40045832 <wifi_rf_phy_disable>
4000187c <__call_wifi_rf_phy_enable>:
4000187c: 70d4306f j 40045788 <wifi_rf_phy_enable>
40001880 <__call_ic_ebuf_alloc>:
40001880: 6bc4206f j 40043f3c <ic_ebuf_alloc>
40001884 <__call_ieee80211_classify>:
40001884: 58c4206f j 40043e10 <ieee80211_classify>
40001888 <__call_ieee80211_copy_eb_header>:
40001888: 6d04206f j 40043f58 <ieee80211_copy_eb_header>
4000188c <__call_ieee80211_recycle_cache_eb>:
4000188c: 42e4206f j 40043cba <ieee80211_recycle_cache_eb>
40001890 <__call_ieee80211_search_node>:
40001890: 4744206f j 40043d04 <ieee80211_search_node>
40001894 <__call_roundup2>:
40001894: 1834906f j 4004b216 <roundup2>
40001898 <__call_ieee80211_crypto_encap>:
40001898: 01e4406f j 400458b6 <ieee80211_crypto_encap>
4000189c <__call_ieee80211_crypto_decap>:
4000189c: 08a4406f j 40045926 <ieee80211_crypto_decap>
400018a0 <__call_ieee80211_decap>:
400018a0: 1044406f j 400459a4 <ieee80211_decap>
400018a4 <__call_ieee80211_set_tx_pti>:
400018a4: 33c4406f j 40045be0 <ieee80211_set_tx_pti>
400018a8 <__call_wifi_is_started>:
400018a8: 44a4206f j 40043cf2 <wifi_is_started>
400018ac <__call_esp_coex_rom_version_get>:
400018ac: 36e4406f j 40045c1a <esp_coex_rom_version_get>
400018b0 <__call_coex_bt_release>:
400018b0: 3b84406f j 40045c68 <coex_bt_release>
400018b4 <__call_coex_bt_request>:
400018b4: 39e4406f j 40045c52 <coex_bt_request>
400018b8 <__call_coex_core_ble_conn_dyn_prio_get>:
400018b8: 4c24406f j 40045d7a <coex_core_ble_conn_dyn_prio_get>
400018bc <__call_coex_core_event_duration_get>:
400018bc: 4e64406f j 40045da2 <coex_core_event_duration_get>
400018c0 <__call_coex_core_pti_get>:
400018c0: 3c84406f j 40045c88 <coex_core_pti_get>
400018c4 <__call_coex_core_release>:
400018c4: 47c4406f j 40045d40 <coex_core_release>
400018c8 <__call_coex_core_request>:
400018c8: 3fc4406f j 40045cc4 <coex_core_request>
400018cc <__call_coex_core_status_get>:
400018cc: 5264406f j 40045df2 <coex_core_status_get>
400018d0 <__call_coex_core_timer_idx_get>:
400018d0: 3da4406f j 40045caa <coex_core_timer_idx_get>
400018d4 <__call_coex_event_duration_get>:
400018d4: 35e4406f j 40045c32 <coex_event_duration_get>
400018d8 <__call_coex_hw_timer_disable>:
400018d8: 59a4406f j 40045e72 <coex_hw_timer_disable>
400018dc <__call_coex_hw_timer_enable>:
400018dc: 57e4406f j 40045e5a <coex_hw_timer_enable>
400018e0 <__call_coex_hw_timer_set>:
400018e0: 51e4406f j 40045dfe <coex_hw_timer_set>
400018e4 <__call_coex_schm_interval_set>:
400018e4: 6104406f j 40045ef4 <coex_schm_interval_set>
400018e8 <__call_coex_schm_lock>:
400018e8: 5a64406f j 40045e8e <coex_schm_lock>
400018ec <__call_coex_schm_unlock>:
400018ec: 5d64406f j 40045ec2 <coex_schm_unlock>
400018f0 <__call_coex_status_get>:
400018f0: 38a4406f j 40045c7a <coex_status_get>
400018f4 <__call_coex_wifi_release>:
400018f4: 34c4406f j 40045c40 <coex_wifi_release>
400018f8 <__call_esp_coex_ble_conn_dynamic_prio_get>:
400018f8: 32c4406f j 40045c24 <esp_coex_ble_conn_dynamic_prio_get>
400018fc <__call_phy_get_romfuncs>:
400018fc: 6f73a06f j 4003c7f2 <phy_get_romfuncs>
40001900 <__call_rom_abs_temp>:
40001900: 4de3806f j 40039dde <rom_abs_temp>
40001904 <__call_rom_bb_bss_cbw40_dig>:
40001904: 6743a06f j 4003bf78 <rom_bb_bss_cbw40_dig>
40001908 <__call_rom_bb_wdg_test_en>:
40001908: 6123a06f j 4003bf1a <rom_bb_wdg_test_en>
4000190c <__call_rom_bb_wdt_get_status>:
4000190c: 0233a06f j 4003c12e <rom_bb_wdt_get_status>
40001910 <__call_rom_bb_wdt_int_enable>:
40001910: 7f23a06f j 4003c102 <rom_bb_wdt_int_enable>
40001914 <__call_rom_bb_wdt_rst_enable>:
40001914: 7d63a06f j 4003c0ea <rom_bb_wdt_rst_enable>
40001918 <__call_rom_bb_wdt_timeout_clear>:
40001918: 0073a06f j 4003c11e <rom_bb_wdt_timeout_clear>
4000191c <__call_rom_cbw2040_cfg>:
4000191c: 5453a06f j 4003c660 <rom_cbw2040_cfg>
40001920 <__call_rom_check_noise_floor>:
40001920: 6d43a06f j 4003bff4 <rom_check_noise_floor>
40001924 <__call_rom_chip_i2c_readReg>:
40001924: 56a3706f j 40038e8e <rom_chip_i2c_readReg>
40001928 <__call_rom_chip_i2c_writeReg>:
40001928: 0493706f j 40039170 <rom_chip_i2c_writeReg>
4000192c <__call_rom_correct_rf_ana_gain>:
4000192c: 12f3806f j 4003a25a <rom_correct_rf_ana_gain>
40001930 <__call_rom_dc_iq_est>:
40001930: 56a3806f j 40039e9a <rom_dc_iq_est>
40001934 <__call_rom_disable_agc>:
40001934: 7453906f j 4003b878 <rom_disable_agc>
40001938 <__call_rom_en_pwdet>:
40001938: 4623806f j 40039d9a <rom_en_pwdet>
4000193c <__call_rom_enable_agc>:
4000193c: 74b3906f j 4003b886 <rom_enable_agc>
40001940 <__call_rom_get_bbgain_db>:
40001940: 1823a06f j 4003bac2 <rom_get_bbgain_db>
40001944 <__call_rom_get_data_sat>:
40001944: 1103a06f j 4003ba54 <rom_get_data_sat>
40001948 <__call_rom_get_i2c_read_mask>:
40001948: 4a43706f j 40038dec <_text_start>
4000194c <__call_rom_get_pwctrl_correct>:
4000194c: 2ad3806f j 4003a3f8 <rom_get_pwctrl_correct>
40001950 <__call_rom_get_rf_gain_qdb>:
40001950: 1073806f j 4003a256 <rom_get_rf_gain_qdb>
40001954 <__call_rom_i2c_readReg>:
40001954: 00f3706f j 40039162 <rom_i2c_readReg>
40001958 <__call_rom_i2c_readReg_Mask>:
40001958: 09d3706f j 400391f4 <rom_i2c_readReg_Mask>
4000195c <__call_rom_i2c_writeReg>:
4000195c: 08b3706f j 400391e6 <rom_i2c_writeReg>
40001960 <__call_rom_i2c_writeReg_Mask>:
40001960: 0cb3706f j 4003922a <rom_i2c_writeReg_Mask>
40001964 <__call_rom_index_to_txbbgain>:
40001964: 3ac3806f j 40039d10 <rom_index_to_txbbgain>
40001968 <__call_rom_iq_est_disable>:
40001968: 5063806f j 40039e6e <rom_iq_est_disable>
4000196c <__call_rom_iq_est_enable>:
4000196c: 47c3806f j 40039de8 <rom_iq_est_enable>
40001970 <__call_rom_linear_to_db>:
40001970: 6823806f j 40039ff2 <rom_linear_to_db>
40001974 <__call_rom_loopback_mode_en>:
40001974: 09e3a06f j 4003ba12 <rom_loopback_mode_en>
40001978 <__call_rom_mhz2ieee>:
40001978: 5c43a06f j 4003bf3c <rom_mhz2ieee>
4000197c <__call_rom_noise_floor_auto_set>:
4000197c: 60e3a06f j 4003bf8a <rom_noise_floor_auto_set>
40001980 <__call_rom_pbus_debugmode>:
40001980: 2dd3706f j 4003945c <rom_pbus_debugmode>
40001984 <__call_rom_pbus_force_mode>:
40001984: 1113706f j 40039294 <rom_pbus_force_mode>
40001988 <__call_rom_pbus_force_test>:
40001988: 2433706f j 400393ca <rom_pbus_force_test>
4000198c <__call_rom_pbus_rd>:
4000198c: 2813706f j 4003940c <rom_pbus_rd>
40001990 <__call_rom_pbus_rd_addr>:
40001990: 1a53706f j 40039334 <rom_pbus_rd_addr>
40001994 <__call_rom_pbus_rd_shift>:
40001994: 1f73706f j 4003938a <rom_pbus_rd_shift>
40001998 <__call_rom_pbus_set_dco>:
40001998: 5033706f j 4003969a <rom_pbus_set_dco>
4000199c <__call_rom_pbus_set_rxgain>:
4000199c: 2e13706f j 4003947c <rom_pbus_set_rxgain>
400019a0 <__call_rom_pbus_workmode>:
400019a0: 2cd3706f j 4003946c <rom_pbus_workmode>
400019a4 <__call_rom_pbus_xpd_rx_off>:
400019a4: 34f3706f j 400394f2 <rom_pbus_xpd_rx_off>
400019a8 <__call_rom_pbus_xpd_rx_on>:
400019a8: 38b3706f j 40039532 <rom_pbus_xpd_rx_on>
400019ac <__call_rom_pbus_xpd_tx_off>:
400019ac: 3f13706f j 4003959c <rom_pbus_xpd_tx_off>
400019b0 <__call_rom_pbus_xpd_tx_on>:
400019b0: 44d3706f j 400395fc <rom_pbus_xpd_tx_on>
400019b4 <__call_rom_phy_byte_to_word>:
400019b4: 7823a06f j 4003c136 <rom_phy_byte_to_word>
400019b8 <__call_rom_phy_disable_cca>:
400019b8: 7533906f j 4003b90a <rom_phy_disable_cca>
400019bc <__call_rom_phy_enable_cca>:
400019bc: 76b3906f j 4003b926 <rom_phy_enable_cca>
400019c0 <__call_rom_phy_get_noisefloor>:
400019c0: 5f83a06f j 4003bfb8 <rom_phy_get_noisefloor>
400019c4 <__call_rom_phy_get_rx_freq>:
400019c4: 5f73a06f j 4003c7ba <rom_phy_get_rx_freq>
400019c8 <__call_rom_phy_set_bbfreq_init>:
400019c8: 20d3806f j 4003a3d4 <rom_phy_set_bbfreq_init>
400019cc <__call_rom_pow_usr>:
400019cc: 76d3906f j 4003b938 <rom_pow_usr>
400019d0 <__call_rom_pwdet_sar2_init>:
400019d0: 3623806f j 40039d32 <rom_pwdet_sar2_init>
400019d4 <__call_rom_read_hw_noisefloor>:
400019d4: 6be3a06f j 4003c092 <rom_read_hw_noisefloor>
400019d8 <__call_rom_read_sar_dout>:
400019d8: 0f53806f j 4003a2cc <rom_read_sar_dout>
400019dc <__call_rom_set_cal_rxdc>:
400019dc: 7e13906f j 4003b9bc <rom_set_cal_rxdc>
400019e0 <__call_rom_set_chan_cal_interp>:
400019e0: 53e3806f j 40039f1e <rom_set_chan_cal_interp>
400019e4 <__call_rom_set_loopback_gain>:
400019e4: 7693906f j 4003b94c <rom_set_loopback_gain>
400019e8 <__call_rom_set_noise_floor>:
400019e8: 6b83a06f j 4003c0a0 <rom_set_noise_floor>
400019ec <__call_rom_set_rxclk_en>:
400019ec: 18a3a06f j 4003bb76 <rom_set_rxclk_en>
400019f0 <__call_rom_set_tx_dig_gain>:
400019f0: 1003a06f j 4003baf0 <rom_set_tx_dig_gain>
400019f4 <__call_rom_set_txcap_reg>:
400019f4: 5703806f j 40039f64 <rom_set_txcap_reg>
400019f8 <__call_rom_set_txclk_en>:
400019f8: 15a3a06f j 4003bb52 <rom_set_txclk_en>
400019fc <__call_rom_spur_cal>:
400019fc: 4153a06f j 4003c610 <rom_spur_cal>
40001a00 <__call_rom_spur_reg_write_one_tone>:
40001a00: 1963a06f j 4003bb96 <rom_spur_reg_write_one_tone>
40001a04 <__call_rom_target_power_add_backoff>:
40001a04: 0253806f j 4003a228 <rom_target_power_add_backoff>
40001a08 <__call_rom_tx_pwctrl_bg_init>:
40001a08: 23f3806f j 4003a446 <rom_tx_pwctrl_bg_init>
40001a0c <__call_rom_txbbgain_to_index>:
40001a0c: 2d23806f j 40039cde <rom_txbbgain_to_index>
40001a10 <__call_rom_wifi_11g_rate_chg>:
40001a10: 65e3806f j 4003a06e <rom_wifi_11g_rate_chg>
40001a14 <__call_rom_write_gain_mem>:
40001a14: 0503a06f j 4003ba64 <rom_write_gain_mem>
40001a18 <__call_chip726_phyrom_version>:
40001a18: 5e53a06f j 4003c7fc <chip726_phyrom_version>
40001a1c <__call_rom_disable_wifi_agc>:
40001a1c: 6993906f j 4003b8b4 <rom_disable_wifi_agc>
40001a20 <__call_rom_enable_wifi_agc>:
40001a20: 6c13906f j 4003b8e0 <rom_enable_wifi_agc>
40001a24 <__call_rom_set_tx_gain_table>:
40001a24: 0643a06f j 4003ba88 <rom_set_tx_gain_table>
40001a28 <__call_rom_bt_index_to_bb>:
40001a28: 0623a06f j 4003ba8a <rom_bt_index_to_bb>
40001a2c <__call_rom_bt_bb_to_index>:
40001a2c: 07a3a06f j 4003baa6 <rom_bt_bb_to_index>
40001a30 <__call_rom_wr_bt_tx_atten>:
40001a30: 0be3a06f j 4003baee <rom_wr_bt_tx_atten>
40001a34 <__call_rom_wr_bt_tx_gain_mem>:
40001a34: 5bd3a06f j 4003c7f0 <rom_wr_bt_tx_gain_mem>
40001a38 <__call_rom_spur_coef_cfg>:
40001a38: 3c23a06f j 4003bdfa <rom_spur_coef_cfg>
40001a3c <__call_rom_bb_bss_cbw40>:
40001a3c: 4713a06f j 4003c6ac <rom_bb_bss_cbw40>
40001a40 <__call_rom_set_cca>:
40001a40: 4ff3a06f j 4003c73e <rom_set_cca>
40001a44 <__call_rom_tx_paon_set>:
40001a44: 7103a06f j 4003c154 <rom_tx_paon_set>
40001a48 <__call_rom_i2cmst_reg_init>:
40001a48: 75e3a06f j 4003c1a6 <rom_i2cmst_reg_init>
40001a4c <__call_rom_iq_corr_enable>:
40001a4c: 0133a06f j 4003c25e <rom_iq_corr_enable>
40001a50 <__call_rom_fe_reg_init>:
40001a50: 0233a06f j 4003c272 <rom_fe_reg_init>
40001a54 <__call_rom_agc_reg_init>:
40001a54: 0bf3a06f j 4003c312 <rom_agc_reg_init>
40001a58 <__call_rom_bb_reg_init>:
40001a58: 1353a06f j 4003c38c <rom_bb_reg_init>
40001a5c <__call_rom_mac_enable_bb>:
40001a5c: 1433a06f j 4003c39e <rom_mac_enable_bb>
40001a60 <__call_rom_bb_wdg_cfg>:
40001a60: 15d3a06f j 4003c3bc <rom_bb_wdg_cfg>
40001a64 <__call_rom_force_txon>:
40001a64: 50d3a06f j 4003c770 <rom_force_txon>
40001a68 <__call_rom_fe_txrx_reset>:
40001a68: 1793a06f j 4003c3e0 <rom_fe_txrx_reset>
40001a6c <__call_rom_set_rx_comp>:
40001a6c: 1993a06f j 4003c404 <rom_set_rx_comp>
40001a70 <__call_rom_set_pbus_reg>:
40001a70: 1fb3a06f j 4003c46a <rom_set_pbus_reg>
40001a74 <__call_rom_write_chan_freq>:
40001a74: 3433a06f j 4003c5b6 <rom_write_chan_freq>
40001a78 <__call_rom_phy_xpd_rf>:
40001a78: 2413a06f j 4003c4b8 <rom_phy_xpd_rf>
40001a7c <__call_rom_set_xpd_sar>:
40001a7c: 2bf3a06f j 4003c53a <rom_set_xpd_sar>
40001a80 <__call_rom_write_dac_gain2>:
40001a80: 2df3a06f j 4003c55e <rom_write_dac_gain2>
40001a84 <__call_rom_rtc_sar2_init>:
40001a84: 2ac3806f j 40039d30 <rom_rtc_sar2_init>
40001a88 <__call_rom_get_target_power_offset>:
40001a88: 6063806f j 4003a08e <rom_get_target_power_offset>
40001a8c <__call_rom_write_txrate_power_offset>:
40001a8c: 65a3806f j 4003a0e6 <rom_write_txrate_power_offset>
40001a90 <__call_rom_get_rate_fcc_index>:
40001a90: 6e43806f j 4003a174 <rom_get_rate_fcc_index>
40001a94 <__call_rom_get_rate_target_power>:
40001a94: 76e3806f j 4003a202 <rom_get_rate_target_power>
40001a98 <__call_rom_write_wifi_dig_gain>:
40001a98: 78e3806f j 4003a226 <rom_write_wifi_dig_gain>
40001a9c <__call_rom_bt_correct_rf_ana_gain>:
40001a9c: 7c03806f j 4003a25c <rom_bt_correct_rf_ana_gain>
40001aa0 <__call_rom_pkdet_vol_start>:
40001aa0: 7be3806f j 4003a25e <rom_pkdet_vol_start>
40001aa4 <__call_rom_read_sar2_code>:
40001aa4: 04b3806f j 4003a2ee <rom_read_sar2_code>
40001aa8 <__call_rom_get_sar2_vol>:
40001aa8: 0913806f j 4003a338 <rom_get_sar2_vol>
40001aac <__call_rom_get_pll_vol>:
40001aac: 0c93806f j 4003a374 <rom_get_pll_vol>
40001ab0 <__call_rom_get_phy_target_power>:
40001ab0: 1bb3806f j 4003a46a <rom_get_phy_target_power>
40001ab4 <__call_rom_temp_to_power>:
40001ab4: 2133806f j 4003a4c6 <rom_temp_to_power>
40001ab8 <__call_rom_phy_track_pll_cap>:
40001ab8: 2173806f j 4003a4ce <rom_phy_track_pll_cap>
40001abc <__call_rom_phy_pwdet_always_en>:
40001abc: 2d13806f j 4003a58c <rom_phy_pwdet_always_en>
40001ac0 <__call_rom_phy_pwdet_onetime_en>:
40001ac0: 3073806f j 4003a5c6 <rom_phy_pwdet_onetime_en>
40001ac4 <__call_rom_get_i2c_mst0_mask>:
40001ac4: 34a3706f j 40038e0e <rom_get_i2c_mst0_mask>
40001ac8 <__call_rom_get_i2c_hostid>:
40001ac8: 3683706f j 40038e30 <rom_get_i2c_hostid>
40001acc <__call_rom_enter_critical_phy>:
40001acc: 3863706f j 40038e52 <rom_enter_critical_phy>
40001ad0 <__call_rom_exit_critical_phy>:
40001ad0: 3863706f j 40038e56 <rom_exit_critical_phy>
40001ad4 <__call_rom_chip_i2c_readReg_org>:
40001ad4: 3843706f j 40038e58 <rom_chip_i2c_readReg_org>
40001ad8 <__call_rom_i2c_paral_set_mst0>:
40001ad8: 41c3706f j 40038ef4 <rom_i2c_paral_set_mst0>
40001adc <__call_rom_i2c_paral_set_read>:
40001adc: 4603706f j 40038f3c <rom_i2c_paral_set_read>
40001ae0 <__call_rom_i2c_paral_read>:
40001ae0: 49a3706f j 40038f7a <rom_i2c_paral_read>
40001ae4 <__call_rom_i2c_paral_write>:
40001ae4: 4e23706f j 40038fc6 <rom_i2c_paral_write>
40001ae8 <__call_rom_i2c_paral_write_num>:
40001ae8: 5263706f j 4003900e <rom_i2c_paral_write_num>
40001aec <__call_rom_i2c_paral_write_mask>:
40001aec: 5ac3706f j 40039098 <rom_i2c_paral_write_mask>
40001af0 <__call_rom_bb_bss_cbw40_ana>:
40001af0: 4093706f j 400396f8 <rom_bb_bss_cbw40_ana>
40001af4 <__call_rom_chan_to_freq>:
40001af4: 46b3706f j 4003975e <rom_chan_to_freq>
40001af8 <__call_rom_open_i2c_xpd>:
40001af8: 4af3706f j 400397a6 <rom_open_i2c_xpd>
40001afc <__call_rom_dac_rate_set>:
40001afc: 4c33706f j 400397be <rom_dac_rate_set>
40001b00 <__call_rom_tsens_read_init>:
40001b00: 5473706f j 40039846 <rom_tsens_read_init>
40001b04 <__call_rom_tsens_code_read>:
40001b04: 5ed3706f j 400398f0 <rom_tsens_code_read>
40001b08 <__call_rom_tsens_index_to_dac>:
40001b08: 5f53706f j 400398fc <rom_tsens_index_to_dac>
40001b0c <__call_rom_tsens_index_to_offset>:
40001b0c: 60b3706f j 40039916 <rom_tsens_index_to_offset>
40001b10 <__call_rom_tsens_dac_cal>:
40001b10: 6213706f j 40039930 <rom_tsens_dac_cal>
40001b14 <__call_rom_code_to_temp>:
40001b14: 6ad3706f j 400399c0 <rom_code_to_temp>
40001b18 <__call_rom_write_pll_cap_mem>:
40001b18: 6f33706f j 40039a0a <rom_write_pll_cap_mem>
40001b1c <__call_rom_pll_correct_dcap>:
40001b1c: 7333706f j 40039a4e <rom_pll_correct_dcap>
40001b20 <__call_rom_phy_en_hw_set_freq>:
40001b20: 7a33706f j 40039ac2 <rom_phy_en_hw_set_freq>
40001b24 <__call_rom_phy_dis_hw_set_freq>:
40001b24: 7b53706f j 40039ad8 <rom_phy_dis_hw_set_freq>
40001b28 <__call_rom_pll_vol_cal>:
40001b28: 7e73706f j 40039b0e <rom_pll_vol_cal>
40001b2c <__call_wdev_is_data_in_rxlist>:
40001b2c: 7ca4106f j 400432f6 <wdev_is_data_in_rxlist>
40001b30 <__call_ppProcTxCallback>:
40001b30: 2e13f06f j 40041610 <ppProcTxCallback>
40001b34 <__call_ieee80211_gettid>:
40001b34: 7374206f j 40044a6a <ieee80211_gettid>
40001b38 <__call_r_lld_legacy_adv_dynamic_pti_get>:
40001b38: 1751106f j 400134ac <r_lld_legacy_adv_dynamic_pti_get>
40001b3c <__call_r_lld_legacy_adv_dynamic_pti_process>:
40001b3c: 1eb1106f j 40013526 <r_lld_legacy_adv_dynamic_pti_process>
40001b40 <__call_r_lld_ext_adv_dynamic_pti_get>:
40001b40: 5911106f j 400138d0 <r_lld_ext_adv_dynamic_pti_get>
40001b44 <__call_r_lld_ext_adv_dynamic_aux_pti_process>:
40001b44: 6c31106f j 40013a06 <r_lld_ext_adv_dynamic_aux_pti_process>
40001b48 <__call_r_lld_ext_adv_dynamic_pti_process>:
40001b48: 1a61206f j 40013cee <r_lld_ext_adv_dynamic_pti_process>
40001b4c <__call_r_lld_adv_ext_pkt_prepare_set>:
40001b4c: 3b51206f j 40014700 <r_lld_adv_ext_pkt_prepare_set>
40001b50 <__call_r_lld_adv_ext_chain_none_construct>:
40001b50: 27a1306f j 40014dca <r_lld_adv_ext_chain_none_construct>
40001b54 <__call_r_lld_adv_ext_chain_connectable_construct>:
40001b54: 5ae1306f j 40015102 <r_lld_adv_ext_chain_connectable_construct>
40001b58 <__call_r_lld_adv_ext_chain_scannable_construct>:
40001b58: 0511306f j 400153a8 <r_lld_adv_ext_chain_scannable_construct>
40001b5c <__call_r_lld_adv_pkt_rx_connect_post>:
40001b5c: 1ee1406f j 40015d4a <r_lld_adv_pkt_rx_connect_post>
40001b60 <__call_r_lld_adv_start_init_evt_param>:
40001b60: 2e91506f j 40017648 <r_lld_adv_start_init_evt_param>
40001b64 <__call_r_lld_adv_start_set_cs>:
40001b64: 2481606f j 40017dac <r_lld_adv_start_set_cs>
40001b68 <__call_r_lld_adv_start_update_filter_policy>:
40001b68: 6821606f j 400181ea <r_lld_adv_start_update_filter_policy>
40001b6c <__call_r_lld_adv_start_schedule_asap>:
40001b6c: 11b1606f j 40018486 <r_lld_adv_start_schedule_asap>
40001b70 <__call_r_lld_con_tx_prog_new_packet_coex>:
40001b70: 3411806f j 4001a6b0 <r_lld_con_tx_prog_new_packet_coex>
40001b74 <__call_r_lld_con_tx_prog_new_packet>:
40001b74: 56f1806f j 4001a8e2 <r_lld_con_tx_prog_new_packet>
40001b78 <__call_r_lld_per_adv_dynamic_pti_get>:
40001b78: 0782006f j 40021bf0 <r_lld_per_adv_dynamic_pti_get>
40001b7c <__call_r_lld_per_adv_evt_start_chm_upd>:
40001b7c: 6a92006f j 40022a24 <r_lld_per_adv_evt_start_chm_upd>
40001b80 <__call_r_lld_ext_scan_dynamic_pti_get>:
40001b80: 4a22206f j 40024022 <r_lld_ext_scan_dynamic_pti_get>
40001b84 <__call_r_lld_scan_try_sched>:
40001b84: 2262406f j 40025daa <r_lld_scan_try_sched>
40001b88 <__call_r_lld_sync_insert>:
40001b88: 34d2606f j 400286d4 <r_lld_sync_insert>
40001b8c <__call_r_sch_prog_ble_push>:
40001b8c: 04e2f06f j 40030bda <r_sch_prog_ble_push>
40001b90 <__call_r_sch_prog_bt_push>:
40001b90: 2642f06f j 40030df4 <r_sch_prog_bt_push>
40001b94 <__call_r_lld_init_evt_end_type_set>:
40001b94: 0631c06f j 4001e3f6 <r_lld_init_evt_end_type_set>
40001b98 <__call_r_lld_init_evt_end_type_get>:
40001b98: 0691c06f j 4001e400 <r_lld_init_evt_end_type_get>
40001b9c <__call_r_lld_adv_direct_adv_use_rpa_addr_state_set>:
40001b9c: 0d31106f j 4001346e <r_lld_adv_direct_adv_use_rpa_addr_state_set>
40001ba0 <__call_r_lld_adv_direct_adv_use_rpa_addr_state_get>:
40001ba0: 0d91106f j 40013478 <r_lld_adv_direct_adv_use_rpa_addr_state_get>
40001ba4 <__call_r_lld_init_evt_end_type_check_state_set>:
40001ba4: 6aa1b06f j 4001d24e <r_lld_init_evt_end_type_check_state_set>
40001ba8 <__call_r_lld_init_evt_end_type_check_state_get>:
40001ba8: 6b01b06f j 4001d258 <r_lld_init_evt_end_type_check_state_get>
40001bac <__call_rom_wrtie_pll_cap>:
40001bac: 2453806f j 4003a5f0 <rom_wrtie_pll_cap>
40001bb0 <__call_rom_set_tx_gain_mem>:
40001bb0: 28b3806f j 4003a63a <rom_set_tx_gain_mem>
40001bb4 <__call_rom_bt_tx_dig_gain>:
40001bb4: 38d3806f j 4003a740 <rom_bt_tx_dig_gain>
40001bb8 <__call_rom_bt_get_tx_gain>:
40001bb8: 4073806f j 4003a7be <rom_bt_get_tx_gain>
40001bbc <__call_rom_get_chan_target_power>:
40001bbc: 4eb3806f j 4003a8a6 <rom_get_chan_target_power>
40001bc0 <__call_rom_get_tx_gain_value>:
40001bc0: 57f3806f j 4003a93e <rom_get_tx_gain_value>
40001bc4 <__call_rom_wifi_tx_dig_gain>:
40001bc4: 5c33806f j 4003a986 <rom_wifi_tx_dig_gain>
40001bc8 <__call_rom_wifi_get_tx_gain>:
40001bc8: 6713806f j 4003aa38 <rom_wifi_get_tx_gain>
40001bcc <__call_rom_fe_i2c_reg_renew>:
40001bcc: 76b3806f j 4003ab36 <rom_fe_i2c_reg_renew>
40001bd0 <__call_rom_wifi_agc_sat_gain>:
40001bd0: 7a53806f j 4003ab74 <rom_wifi_agc_sat_gain>
40001bd4 <__call_rom_i2c_master_reset>:
40001bd4: 7ad3806f j 4003ab80 <rom_i2c_master_reset>
40001bd8 <__call_rom_bt_filter_reg>:
40001bd8: 7ed3806f j 4003abc4 <rom_bt_filter_reg>
40001bdc <__call_rom_phy_bbpll_cal>:
40001bdc: 0723906f j 4003ac4e <rom_phy_bbpll_cal>
40001be0 <__call_rom_i2c_sar2_init_code>:
40001be0: 0283906f j 4003ac08 <rom_i2c_sar2_init_code>
40001be4 <__call_rom_phy_param_addr>:
40001be4: 0823906f j 4003ac66 <rom_phy_param_addr>
40001be8 <__call_rom_phy_reg_init>:
40001be8: 0883906f j 4003ac70 <rom_phy_reg_init>
40001bec <__call_rom_set_chan_reg>:
40001bec: 1283906f j 4003ad14 <rom_set_chan_reg>
40001bf0 <__call_rom_phy_wakeup_init>:
40001bf0: 1d23906f j 4003adc2 <rom_phy_wakeup_init>
40001bf4 <__call_rom_phy_i2c_init1>:
40001bf4: 3723906f j 4003af66 <rom_phy_i2c_init1>
40001bf8 <__call_rom_tsens_temp_read>:
40001bf8: 5243906f j 4003b11c <rom_tsens_temp_read>
40001bfc <__call_rom_bt_track_pll_cap>:
40001bfc: 59c3906f j 4003b198 <rom_bt_track_pll_cap>
40001c00 <__call_rom_wifi_track_pll_cap>:
40001c00: 6023906f j 4003b202 <rom_wifi_track_pll_cap>
40001c04 <__call_rom_wifi_set_tx_gain>:
40001c04: 6483906f j 4003b24c <rom_wifi_set_tx_gain>
40001c08 <__call_rom_txpwr_cal_track>:
40001c08: 71a3906f j 4003b322 <rom_txpwr_cal_track>
40001c0c <__call_rom_tx_pwctrl_background>:
40001c0c: 0533906f j 4003b45e <rom_tx_pwctrl_background>
40001c10 <__call_rom_bt_set_tx_gain>:
40001c10: 09b3906f j 4003b4aa <rom_bt_set_tx_gain>
40001c14 <__call_rom_noise_check_loop>:
40001c14: 11d3906f j 4003b530 <rom_noise_check_loop>
40001c18 <__call_rom_phy_close_rf>:
40001c18: 2333906f j 4003b64a <rom_phy_close_rf>
40001c1c <__call_rom_phy_xpd_tsens>:
40001c1c: 2773906f j 4003b692 <rom_phy_xpd_tsens>
40001c20 <__call_rom_phy_freq_mem_backup>:
40001c20: 2853906f j 4003b6a4 <rom_phy_freq_mem_backup>
40001c24 <__call_rom_phy_ant_init>:
40001c24: 2e93906f j 4003b70c <rom_phy_ant_init>
40001c28 <__call_rom_bt_track_tx_power>:
40001c28: 3293906f j 4003b750 <rom_bt_track_tx_power>
40001c2c <__call_rom_wifi_track_tx_power>:
40001c2c: 3393906f j 4003b764 <rom_wifi_track_tx_power>
40001c30 <__call_rom_phy_dig_reg_backup>:
40001c30: 3493906f j 4003b778 <rom_phy_dig_reg_backup>
40001c34 <__call_chip726_phyrom_version_num>:
40001c34: 3ed3a06f j 4003c820 <chip726_phyrom_version_num>
40001c38 <__call_esp_flash_read_chip_id>:
40001c38: 0a74c06f j 4004e4de <esp_flash_read_chip_id>
40001c3c <__call_detect_spi_flash_chip>:
40001c3c: 0a94c06f j 4004e4e4 <detect_spi_flash_chip>
40001c40 <__call_esp_rom_spiflash_write_disable>:
40001c40: 5724b06f j 4004d1b2 <esp_rom_spiflash_write_disable>
...
40001d00 <_vector_table>:
40001d00: 0800006f j 40001d80 <_interrupt_handler>
40001d04: 07c0006f j 40001d80 <_interrupt_handler>
40001d08: 0780006f j 40001d80 <_interrupt_handler>
40001d0c: 0740006f j 40001d80 <_interrupt_handler>
40001d10: 0700006f j 40001d80 <_interrupt_handler>
40001d14: 06c0006f j 40001d80 <_interrupt_handler>
40001d18: 0680006f j 40001d80 <_interrupt_handler>
40001d1c: 0640006f j 40001d80 <_interrupt_handler>
40001d20: 0600006f j 40001d80 <_interrupt_handler>
40001d24: 05c0006f j 40001d80 <_interrupt_handler>
40001d28: 0580006f j 40001d80 <_interrupt_handler>
40001d2c: 0540006f j 40001d80 <_interrupt_handler>
40001d30: 0500006f j 40001d80 <_interrupt_handler>
40001d34: 04c0006f j 40001d80 <_interrupt_handler>
40001d38: 0480006f j 40001d80 <_interrupt_handler>
40001d3c: 0440006f j 40001d80 <_interrupt_handler>
40001d40: 0400006f j 40001d80 <_interrupt_handler>
40001d44: 03c0006f j 40001d80 <_interrupt_handler>
40001d48: 0380006f j 40001d80 <_interrupt_handler>
40001d4c: 0340006f j 40001d80 <_interrupt_handler>
40001d50: 0300006f j 40001d80 <_interrupt_handler>
40001d54: 02c0006f j 40001d80 <_interrupt_handler>
40001d58: 0280006f j 40001d80 <_interrupt_handler>
40001d5c: 0240006f j 40001d80 <_interrupt_handler>
40001d60: 0200006f j 40001d80 <_interrupt_handler>
40001d64: 01c0006f j 40001d80 <_interrupt_handler>
40001d68: 0180006f j 40001d80 <_interrupt_handler>
40001d6c: 0140006f j 40001d80 <_interrupt_handler>
40001d70: 0100006f j 40001d80 <_interrupt_handler>
40001d74: 00c0006f j 40001d80 <_interrupt_handler>
40001d78: 0080006f j 40001d80 <_interrupt_handler>
40001d7c: 0040006f j 40001d80 <_interrupt_handler>
Disassembly of section .init.text:
40001d80 <_interrupt_handler>:
40001d80: 7175 addi sp,sp,-144
40001d82: c206 sw ra,4(sp)
40001d84: ca16 sw t0,20(sp)
40001d86: cc1a sw t1,24(sp)
40001d88: ce1e sw t2,28(sp)
40001d8a: d022 sw s0,32(sp)
40001d8c: d226 sw s1,36(sp)
40001d8e: d42a sw a0,40(sp)
40001d90: d62e sw a1,44(sp)
40001d92: d832 sw a2,48(sp)
40001d94: da36 sw a3,52(sp)
40001d96: dc3a sw a4,56(sp)
40001d98: de3e sw a5,60(sp)
40001d9a: c0c2 sw a6,64(sp)
40001d9c: c2c6 sw a7,68(sp)
40001d9e: c4ca sw s2,72(sp)
40001da0: c6ce sw s3,76(sp)
40001da2: c8d2 sw s4,80(sp)
40001da4: cad6 sw s5,84(sp)
40001da6: ccda sw s6,88(sp)
40001da8: cede sw s7,92(sp)
40001daa: d0e2 sw s8,96(sp)
40001dac: d2e6 sw s9,100(sp)
40001dae: d4ea sw s10,104(sp)
40001db0: d6ee sw s11,108(sp)
40001db2: d8f2 sw t3,112(sp)
40001db4: daf6 sw t4,116(sp)
40001db6: dcfa sw t5,120(sp)
40001db8: defe sw t6,124(sp)
40001dba: 0900 addi s0,sp,144
40001dbc: c422 sw s0,8(sp)
40001dbe: 300024f3 csrr s1,mstatus
40001dc2: 34102973 csrr s2,mepc
40001dc6: 342025f3 csrr a1,mcause
40001dca: 600c22b7 lui t0,0x600c2
40001dce: 19428293 addi t0,t0,404 # 600c2194 <SPIMEM0+0xbf194>
40001dd2: 0002a403 lw s0,0(t0)
40001dd6: 00000097 auipc ra,0x0
40001dda: 05608093 addi ra,ra,86 # 40001e2c <_interrupt_exit>
40001dde: 0205db63 bgez a1,40001e14 <_interrupt_handler+0x94>
40001de2: 80000337 lui t1,0x80000
40001de6: 137d addi t1,t1,-1
40001de8: 0065f533 and a0,a1,t1
40001dec: 600c2337 lui t1,0x600c2
40001df0: 11430313 addi t1,t1,276 # 600c2114 <SPIMEM0+0xbf114>
40001df4: 00251393 slli t2,a0,0x2
40001df8: 931e add t1,t1,t2
40001dfa: 00032a83 lw s5,0(t1)
40001dfe: 0a85 addi s5,s5,1
40001e00: 0152a023 sw s5,0(t0)
40001e04: 0ff0000f fence
40001e08: 00800293 li t0,8
40001e0c: 3002a073 csrs mstatus,t0
40001e10: 3235006f j 40052932 <_global_interrupt_handler>
40001e14: c722 sw s0,140(sp)
40001e16: c126 sw s1,128(sp)
40001e18: c04a sw s2,0(sp)
40001e1a: c32e sw a1,132(sp)
40001e1c: 34302a73 csrr s4,mtval
40001e20: c552 sw s4,136(sp)
40001e22: c60e sw gp,12(sp)
40001e24: c812 sw tp,16(sp)
40001e26: 850a mv a0,sp
40001e28: 3a55006f j 400529cc <ets_fatal_exception_handler>
40001e2c <_interrupt_exit>:
40001e2c: 00800293 li t0,8
40001e30: 3002b073 csrc mstatus,t0
40001e34: 600c22b7 lui t0,0x600c2
40001e38: 19428293 addi t0,t0,404 # 600c2194 <SPIMEM0+0xbf194>
40001e3c: 0082a023 sw s0,0(t0)
40001e40: 30049073 csrw mstatus,s1
40001e44: 34191073 csrw mepc,s2
40001e48: 4092 lw ra,4(sp)
40001e4a: 42d2 lw t0,20(sp)
40001e4c: 4362 lw t1,24(sp)
40001e4e: 43f2 lw t2,28(sp)
40001e50: 5402 lw s0,32(sp)
40001e52: 5492 lw s1,36(sp)
40001e54: 5522 lw a0,40(sp)
40001e56: 55b2 lw a1,44(sp)
40001e58: 5642 lw a2,48(sp)
40001e5a: 56d2 lw a3,52(sp)
40001e5c: 5762 lw a4,56(sp)
40001e5e: 57f2 lw a5,60(sp)
40001e60: 4806 lw a6,64(sp)
40001e62: 4896 lw a7,68(sp)
40001e64: 4926 lw s2,72(sp)
40001e66: 49b6 lw s3,76(sp)
40001e68: 4a46 lw s4,80(sp)
40001e6a: 4ad6 lw s5,84(sp)
40001e6c: 4b66 lw s6,88(sp)
40001e6e: 4bf6 lw s7,92(sp)
40001e70: 5c06 lw s8,96(sp)
40001e72: 5c96 lw s9,100(sp)
40001e74: 5d26 lw s10,104(sp)
40001e76: 5db6 lw s11,108(sp)
40001e78: 5e46 lw t3,112(sp)
40001e7a: 5ed6 lw t4,116(sp)
40001e7c: 5f66 lw t5,120(sp)
40001e7e: 5ff6 lw t6,124(sp)
40001e80: 4122 lw sp,8(sp)
40001e82: 30200073 mret
...
40001e90 <_init>:
40001e90: 30001073 csrw mstatus,zero
40001e94: 00001073 csrw ustatus,zero
40001e98: 600c22b7 lui t0,0x600c2
40001e9c: 10428293 addi t0,t0,260 # 600c2104 <SPIMEM0+0xbf104>
40001ea0: 0002a023 sw zero,0(t0)
40001ea4: 4285 li t0,1
40001ea6: 80029073 csrw 0x800,t0
40001eaa: 4285 li t0,1
40001eac: 80129073 csrw 0x801,t0
40001eb0: 4181 li gp,0
40001eb2: 00000297 auipc t0,0x0
40001eb6: e4e28293 addi t0,t0,-434 # 40001d00 <_vector_table>
40001eba: 0012e293 ori t0,t0,1
40001ebe: 30529073 csrw mtvec,t0
40001ec2: 4305 li t1,1
40001ec4: 600c22b7 lui t0,0x600c2
40001ec8: 19428293 addi t0,t0,404 # 600c2194 <SPIMEM0+0xbf194>
40001ecc: 0062a023 sw t1,0(t0)
40001ed0: 42a5 li t0,9
40001ed2: 4305 li t1,1
40001ed4: 30029073 csrw mstatus,t0
40001ed8: 00031073 csrw ustatus,t1
40001edc: ffcdd117 auipc sp,0xffcdd
40001ee0: 83410113 addi sp,sp,-1996 # 3fcde710 <_bss_start_ets>
40001ee4: 00057597 auipc a1,0x57
40001ee8: 31c58593 addi a1,a1,796 # 40059200 <_data_end_btdm_rom>
40001eec: 00057617 auipc a2,0x57
40001ef0: 51460613 addi a2,a2,1300 # 40059400 <_data_end>
40001ef4: 02c5d163 bge a1,a2,40001f16 <unpackloop+0x1e>
40001ef8 <unpackloop>:
40001ef8: 4194 lw a3,0(a1)
40001efa: 41d8 lw a4,4(a1)
40001efc: 459c lw a5,8(a1)
40001efe: a039 j 40001f0c <unpackloop+0x14>
40001f00: 0007a283 lw t0,0(a5)
40001f04: 0056a023 sw t0,0(a3)
40001f08: 0691 addi a3,a3,4
40001f0a: 0791 addi a5,a5,4
40001f0c: fee6eae3 bltu a3,a4,40001f00 <unpackloop+0x8>
40001f10: 05c1 addi a1,a1,16
40001f12: fec5e3e3 bltu a1,a2,40001ef8 <unpackloop>
40001f16: 00057597 auipc a1,0x57
40001f1a: 4fa58593 addi a1,a1,1274 # 40059410 <_bss_table_start>
40001f1e: 00057617 auipc a2,0x57
40001f22: 67260613 addi a2,a2,1650 # 40059590 <_bss_table_end>
40001f26: 00c5dd63 bge a1,a2,40001f40 <clearloop+0x16>
40001f2a <clearloop>:
40001f2a: 4194 lw a3,0(a1)
40001f2c: 41d8 lw a4,4(a1)
40001f2e: a021 j 40001f36 <clearloop+0xc>
40001f30: 0006a023 sw zero,0(a3)
40001f34: 0691 addi a3,a3,4
40001f36: fee6cde3 blt a3,a4,40001f30 <clearloop+0x6>
40001f3a: 05b1 addi a1,a1,12
40001f3c: fec5e7e3 bltu a1,a2,40001f2a <clearloop>
40001f40: 6fd4506f j 40047e3c <main>
...
Disassembly of section .bt_text:
40001f4c <r_aes_ccm_xor_128_lsb>:
40001f4c: 47bd li a5,15
40001f4e: 40e78733 sub a4,a5,a4
40001f52: 0ff77713 andi a4,a4,255
40001f56: 4781 li a5,0
40001f58: 00d7c363 blt a5,a3,40001f5e <r_aes_ccm_xor_128_lsb+0x12>
40001f5c: 8082 ret
40001f5e: 40f70833 sub a6,a4,a5
40001f62: 010508b3 add a7,a0,a6
40001f66: 00f60333 add t1,a2,a5
40001f6a: 982e add a6,a6,a1
40001f6c: 00084803 lbu a6,0(a6)
40001f70: 00034303 lbu t1,0(t1)
40001f74: 0785 addi a5,a5,1
40001f76: 07e2 slli a5,a5,0x18
40001f78: 00684833 xor a6,a6,t1
40001f7c: 01088023 sb a6,0(a7)
40001f80: 87e1 srai a5,a5,0x18
40001f82: bfd9 j 40001f58 <r_aes_ccm_xor_128_lsb+0xc>
40001f84 <r_aes_ccm_xor_128_msb>:
40001f84: 4781 li a5,0
40001f86: 483d li a6,15
40001f88: 00d7c363 blt a5,a3,40001f8e <r_aes_ccm_xor_128_msb+0xa>
40001f8c: 8082 ret
40001f8e: 40f80733 sub a4,a6,a5
40001f92: 00f58333 add t1,a1,a5
40001f96: 9732 add a4,a4,a2
40001f98: 00074703 lbu a4,0(a4)
40001f9c: 00034303 lbu t1,0(t1)
40001fa0: 00f508b3 add a7,a0,a5
40001fa4: 0785 addi a5,a5,1
40001fa6: 00674733 xor a4,a4,t1
40001faa: 07e2 slli a5,a5,0x18
40001fac: 00e88023 sb a4,0(a7)
40001fb0: 87e1 srai a5,a5,0x18
40001fb2: bfd9 j 40001f88 <r_aes_ccm_xor_128_msb+0x4>
40001fb4 <r_aes_ccm_continue>:
40001fb4: 7179 addi sp,sp,-48
40001fb6: d422 sw s0,40(sp)
40001fb8: ce4e sw s3,28(sp)
40001fba: d606 sw ra,44(sp)
40001fbc: d226 sw s1,36(sp)
40001fbe: d04a sw s2,32(sp)
40001fc0: 05154783 lbu a5,81(a0)
40001fc4: 842a mv s0,a0
40001fc6: 3fce09b7 lui s3,0x3fce0
40001fca: 0027f693 andi a3,a5,2
40001fce: 0107f713 andi a4,a5,16
40001fd2: 10068263 beqz a3,400020d6 <r_aes_ccm_continue+0x122>
40001fd6: cf59 beqz a4,40002074 <r_aes_ccm_continue+0xc0>
40001fd8: 03e54783 lbu a5,62(a0)
40001fdc: 05054583 lbu a1,80(a0)
40001fe0: 03f7f713 andi a4,a5,63
40001fe4: 04f54783 lbu a5,79(a0)
40001fe8: 15f9 addi a1,a1,-2
40001fea: 00f037b3 snez a5,a5
40001fee: 079a slli a5,a5,0x6
40001ff0: 8fd9 or a5,a5,a4
40001ff2: 02f50f23 sb a5,62(a0)
40001ff6: 4789 li a5,2
40001ff8: 02f5c5b3 div a1,a1,a5
40001ffc: 00359793 slli a5,a1,0x3
40002000: fc77f793 andi a5,a5,-57
40002004: cf99 beqz a5,40002022 <r_aes_ccm_continue+0x6e>
40002006: 3fce07b7 lui a5,0x3fce0
4000200a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000200e: 3ff1a637 lui a2,0x3ff1a
40002012: 0cf00693 li a3,207
40002016: 47dc lw a5,12(a5)
40002018: 3a460613 addi a2,a2,932 # 3ff1a3a4 <CSWTCH.72+0x78>
4000201c: 03800513 li a0,56
40002020: 9782 jalr a5
40002022: 05044783 lbu a5,80(s0)
40002026: 4709 li a4,2
40002028: 02f40913 addi s2,s0,47
4000202c: 17f9 addi a5,a5,-2
4000202e: 02e7c7b3 div a5,a5,a4
40002032: 00379713 slli a4,a5,0x3
40002036: 03e44783 lbu a5,62(s0)
4000203a: 0c07f793 andi a5,a5,192
4000203e: 8fd9 or a5,a5,a4
40002040: 0017e793 ori a5,a5,1
40002044: 02f40f23 sb a5,62(s0)
40002048: 02c45783 lhu a5,44(s0)
4000204c: 02f407a3 sb a5,47(s0)
40002050: 83a1 srli a5,a5,0x8
40002052: 02f40823 sb a5,48(s0)
40002056: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
4000205a: 4c4c lw a1,28(s0)
4000205c: 864a mv a2,s2
4000205e: 3247a783 lw a5,804(a5)
40002062: 8522 mv a0,s0
40002064: 9782 jalr a5
40002066: 50b2 lw ra,44(sp)
40002068: 5422 lw s0,40(sp)
4000206a: 5492 lw s1,36(sp)
4000206c: 5902 lw s2,32(sp)
4000206e: 49f2 lw s3,28(sp)
40002070: 6145 addi sp,sp,48
40002072: 8082 ret
40002074: 8b91 andi a5,a5,4
40002076: 03f50913 addi s2,a0,63
4000207a: dff1 beqz a5,40002056 <r_aes_ccm_continue+0xa2>
4000207c: 02e54483 lbu s1,46(a0)
40002080: 0492 slli s1,s1,0x4
40002082: c49d beqz s1,400020b0 <r_aes_ccm_continue+0xfc>
40002084: 14f9 addi s1,s1,-2
40002086: 04c2 slli s1,s1,0x10
40002088: 80c1 srli s1,s1,0x10
4000208a: 46c1 li a3,16
4000208c: 4701 li a4,0
4000208e: 04f44783 lbu a5,79(s0)
40002092: 8f85 sub a5,a5,s1
40002094: 00d7d463 bge a5,a3,4000209c <r_aes_ccm_continue+0xe8>
40002098: 0ff7f693 andi a3,a5,255
4000209c: f889a783 lw a5,-120(s3)
400020a0: 5010 lw a2,32(s0)
400020a2: 85ca mv a1,s2
400020a4: 3087a783 lw a5,776(a5)
400020a8: 9626 add a2,a2,s1
400020aa: 854a mv a0,s2
400020ac: 9782 jalr a5
400020ae: b765 j 40002056 <r_aes_ccm_continue+0xa2>
400020b0: f889a783 lw a5,-120(s3)
400020b4: 4701 li a4,0
400020b6: 4689 li a3,2
400020b8: 3087a803 lw a6,776(a5)
400020bc: 04f54783 lbu a5,79(a0)
400020c0: 00e10613 addi a2,sp,14
400020c4: 85ca mv a1,s2
400020c6: 07a2 slli a5,a5,0x8
400020c8: 00f11723 sh a5,14(sp)
400020cc: 854a mv a0,s2
400020ce: 9802 jalr a6
400020d0: 46b9 li a3,14
400020d2: 4709 li a4,2
400020d4: bf6d j 4000208e <r_aes_ccm_continue+0xda>
400020d6: 4781 li a5,0
400020d8: e711 bnez a4,400020e4 <r_aes_ccm_continue+0x130>
400020da: 02e54783 lbu a5,46(a0)
400020de: 0785 addi a5,a5,1
400020e0: 0ff7f793 andi a5,a5,255
400020e4: 4705 li a4,1
400020e6: 02e40f23 sb a4,62(s0)
400020ea: 02f40913 addi s2,s0,47
400020ee: 02f407a3 sb a5,47(s0)
400020f2: 02040823 sb zero,48(s0)
400020f6: b785 j 40002056 <r_aes_ccm_continue+0xa2>
400020f8 <r_aes_ccm_process_e>:
400020f8: 7179 addi sp,sp,-48
400020fa: d422 sw s0,40(sp)
400020fc: ce4e sw s3,28(sp)
400020fe: d606 sw ra,44(sp)
40002100: d226 sw s1,36(sp)
40002102: d04a sw s2,32(sp)
40002104: cc52 sw s4,24(sp)
40002106: ca56 sw s5,20(sp)
40002108: c85a sw s6,16(sp)
4000210a: c65e sw s7,12(sp)
4000210c: 05154483 lbu s1,81(a0)
40002110: 842a mv s0,a0
40002112: 0024f793 andi a5,s1,2
40002116: 0104f993 andi s3,s1,16
4000211a: c7d1 beqz a5,400021a6 <r_aes_ccm_process_e+0xae>
4000211c: 4641 li a2,16
4000211e: 03f50513 addi a0,a0,63
40002122: 698560ef jal ra,400587ba <memcpy>
40002126: 02098f63 beqz s3,40002164 <r_aes_ccm_process_e+0x6c>
4000212a: 04f44703 lbu a4,79(s0)
4000212e: 0ef4f793 andi a5,s1,239
40002132: 04f408a3 sb a5,81(s0)
40002136: c30d beqz a4,40002158 <r_aes_ccm_process_e+0x60>
40002138: 0047e793 ori a5,a5,4
4000213c: 04f408a3 sb a5,81(s0)
40002140: 02040723 sb zero,46(s0)
40002144: 3fce07b7 lui a5,0x3fce0
40002148: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000214c: 8522 mv a0,s0
4000214e: 3007a783 lw a5,768(a5)
40002152: 9782 jalr a5
40002154: 4781 li a5,0
40002156: a8ad j 400021d0 <r_aes_ccm_process_e+0xd8>
40002158: 98a5 andi s1,s1,-23
4000215a: 0084e493 ori s1,s1,8
4000215e: 049408a3 sb s1,81(s0)
40002162: bff9 j 40002140 <r_aes_ccm_process_e+0x48>
40002164: 0044f713 andi a4,s1,4
40002168: 02e44783 lbu a5,46(s0)
4000216c: cf11 beqz a4,40002188 <r_aes_ccm_process_e+0x90>
4000216e: 0785 addi a5,a5,1
40002170: 0ff7f793 andi a5,a5,255
40002174: 04f44703 lbu a4,79(s0)
40002178: 02f40723 sb a5,46(s0)
4000217c: 0792 slli a5,a5,0x4
4000217e: 17f9 addi a5,a5,-2
40002180: fcf752e3 bge a4,a5,40002144 <r_aes_ccm_process_e+0x4c>
40002184: 98e5 andi s1,s1,-7
40002186: bfd1 j 4000215a <r_aes_ccm_process_e+0x62>
40002188: ffd4f713 andi a4,s1,-3
4000218c: 04e408a3 sb a4,81(s0)
40002190: 02c45703 lhu a4,44(s0)
40002194: 0792 slli a5,a5,0x4
40002196: fae7e7e3 bltu a5,a4,40002144 <r_aes_ccm_process_e+0x4c>
4000219a: 98d5 andi s1,s1,-11
4000219c: 0104e493 ori s1,s1,16
400021a0: 049408a3 sb s1,81(s0)
400021a4: b745 j 40002144 <r_aes_ccm_process_e+0x4c>
400021a6: 892e mv s2,a1
400021a8: 02c55683 lhu a3,44(a0)
400021ac: 8885 andi s1,s1,1
400021ae: 08098d63 beqz s3,40002248 <r_aes_ccm_process_e+0x150>
400021b2: ccb1 beqz s1,4000220e <r_aes_ccm_process_e+0x116>
400021b4: 5508 lw a0,40(a0)
400021b6: 4781 li a5,0
400021b8: 483d li a6,15
400021ba: 05044703 lbu a4,80(s0)
400021be: 02e7e563 bltu a5,a4,400021e8 <r_aes_ccm_process_e+0xf0>
400021c2: 4501 li a0,0
400021c4: 4c18 lw a4,24(s0)
400021c6: 4785 li a5,1
400021c8: c701 beqz a4,400021d0 <r_aes_ccm_process_e+0xd8>
400021ca: 484c lw a1,20(s0)
400021cc: 9702 jalr a4
400021ce: 4785 li a5,1
400021d0: 50b2 lw ra,44(sp)
400021d2: 5422 lw s0,40(sp)
400021d4: 5492 lw s1,36(sp)
400021d6: 5902 lw s2,32(sp)
400021d8: 49f2 lw s3,28(sp)
400021da: 4a62 lw s4,24(sp)
400021dc: 4ad2 lw s5,20(sp)
400021de: 4b42 lw s6,16(sp)
400021e0: 4bb2 lw s7,12(sp)
400021e2: 853e mv a0,a5
400021e4: 6145 addi sp,sp,48
400021e6: 8082 ret
400021e8: 40f80733 sub a4,a6,a5
400021ec: 00e905b3 add a1,s2,a4
400021f0: 9722 add a4,a4,s0
400021f2: 0005c583 lbu a1,0(a1)
400021f6: 03f74703 lbu a4,63(a4)
400021fa: 00f50633 add a2,a0,a5
400021fe: 9636 add a2,a2,a3
40002200: 8f2d xor a4,a4,a1
40002202: 0785 addi a5,a5,1
40002204: 00e60023 sb a4,0(a2)
40002208: 0ff7f793 andi a5,a5,255
4000220c: b77d j 400021ba <r_aes_ccm_process_e+0xc2>
4000220e: 05054583 lbu a1,80(a0)
40002212: 5148 lw a0,36(a0)
40002214: 04e40713 addi a4,s0,78
40002218: 093d addi s2,s2,15
4000221a: 4781 li a5,0
4000221c: 0ff7f613 andi a2,a5,255
40002220: fab671e3 bgeu a2,a1,400021c2 <r_aes_ccm_process_e+0xca>
40002224: 00f68633 add a2,a3,a5
40002228: 962a add a2,a2,a0
4000222a: 00094883 lbu a7,0(s2)
4000222e: 00064603 lbu a2,0(a2)
40002232: 00074803 lbu a6,0(a4)
40002236: 0785 addi a5,a5,1
40002238: 01164633 xor a2,a2,a7
4000223c: 177d addi a4,a4,-1
4000223e: 197d addi s2,s2,-1
40002240: fcc80ee3 beq a6,a2,4000221c <r_aes_ccm_process_e+0x124>
40002244: 4505 li a0,1
40002246: bfbd j 400021c4 <r_aes_ccm_process_e+0xcc>
40002248: 02e54783 lbu a5,46(a0)
4000224c: 4a41 li s4,16
4000224e: 0792 slli a5,a5,0x4
40002250: 00f78713 addi a4,a5,15
40002254: 00d74563 blt a4,a3,4000225e <r_aes_ccm_process_e+0x166>
40002258: 8e9d sub a3,a3,a5
4000225a: 0ff6fa13 andi s4,a3,255
4000225e: 02442a83 lw s5,36(s0)
40002262: 02842983 lw s3,40(s0)
40002266: 3fce0b37 lui s6,0x3fce0
4000226a: 9abe add s5,s5,a5
4000226c: 99be add s3,s3,a5
4000226e: 03f40b93 addi s7,s0,63
40002272: f88b2783 lw a5,-120(s6) # 3fcdff88 <r_modules_funcs_p>
40002276: cc95 beqz s1,400022b2 <r_aes_ccm_process_e+0x1ba>
40002278: 3087a783 lw a5,776(a5)
4000227c: 86d2 mv a3,s4
4000227e: 8656 mv a2,s5
40002280: 85de mv a1,s7
40002282: 855e mv a0,s7
40002284: 4701 li a4,0
40002286: 9782 jalr a5
40002288: f88b2783 lw a5,-120(s6)
4000228c: 86d2 mv a3,s4
4000228e: 864a mv a2,s2
40002290: 30c7a783 lw a5,780(a5)
40002294: 85d6 mv a1,s5
40002296: 854e mv a0,s3
40002298: 9782 jalr a5
4000229a: 05144783 lbu a5,81(s0)
4000229e: 0027e793 ori a5,a5,2
400022a2: 04f408a3 sb a5,81(s0)
400022a6: 02e44783 lbu a5,46(s0)
400022aa: 0785 addi a5,a5,1
400022ac: 02f40723 sb a5,46(s0)
400022b0: bd51 j 40002144 <r_aes_ccm_process_e+0x4c>
400022b2: 30c7a783 lw a5,780(a5)
400022b6: 86d2 mv a3,s4
400022b8: 864a mv a2,s2
400022ba: 85d6 mv a1,s5
400022bc: 854e mv a0,s3
400022be: 9782 jalr a5
400022c0: f88b2783 lw a5,-120(s6)
400022c4: 4701 li a4,0
400022c6: 86d2 mv a3,s4
400022c8: 3087a783 lw a5,776(a5)
400022cc: 864e mv a2,s3
400022ce: 85de mv a1,s7
400022d0: 855e mv a0,s7
400022d2: 9782 jalr a5
400022d4: b7d9 j 4000229a <r_aes_ccm_process_e+0x1a2>
400022d6 <r_rw_crypto_aes_ccm>:
400022d6: 7179 addi sp,sp,-48
400022d8: d422 sw s0,40(sp)
400022da: 3fce0437 lui s0,0x3fce0
400022de: d226 sw s1,36(sp)
400022e0: 84ae mv s1,a1
400022e2: f8842583 lw a1,-120(s0) # 3fcdff88 <r_modules_funcs_p>
400022e6: ce4e sw s3,28(sp)
400022e8: 89b6 mv s3,a3
400022ea: 31c5a303 lw t1,796(a1)
400022ee: 56e2 lw a3,56(sp)
400022f0: 3045a583 lw a1,772(a1)
400022f4: d04a sw s2,32(sp)
400022f6: cc52 sw s4,24(sp)
400022f8: ca56 sw s5,20(sp)
400022fa: c85a sw s6,16(sp)
400022fc: 8aaa mv s5,a0
400022fe: 8a32 mv s4,a2
40002300: d606 sw ra,44(sp)
40002302: 4601 li a2,0
40002304: 05400513 li a0,84
40002308: c63a sw a4,12(sp)
4000230a: c43e sw a5,8(sp)
4000230c: c242 sw a6,4(sp)
4000230e: c046 sw a7,0(sp)
40002310: 03014903 lbu s2,48(sp)
40002314: 5b52 lw s6,52(sp)
40002316: 9302 jalr t1
40002318: 4732 lw a4,12(sp)
4000231a: 47a2 lw a5,8(sp)
4000231c: 4882 lw a7,0(sp)
4000231e: 4812 lw a6,4(sp)
40002320: 02e51623 sh a4,44(a0)
40002324: 04f50823 sb a5,80(a0)
40002328: 01652c23 sw s6,24(a0)
4000232c: 01552e23 sw s5,28(a0)
40002330: 03152023 sw a7,32(a0)
40002334: 03452223 sw s4,36(a0)
40002338: 03352423 sw s3,40(a0)
4000233c: 052507a3 sb s2,79(a0)
40002340: 03150693 addi a3,a0,49
40002344: 4731 li a4,12
40002346: 57fd li a5,-1
40002348: 00e48633 add a2,s1,a4
4000234c: 00064603 lbu a2,0(a2)
40002350: 177d addi a4,a4,-1
40002352: 0685 addi a3,a3,1
40002354: fec68fa3 sb a2,-1(a3)
40002358: fef718e3 bne a4,a5,40002348 <r_rw_crypto_aes_ccm+0x72>
4000235c: 05154783 lbu a5,81(a0)
40002360: 9bf9 andi a5,a5,-2
40002362: 0107e7b3 or a5,a5,a6
40002366: 9bcd andi a5,a5,-13
40002368: 0127e793 ori a5,a5,18
4000236c: 04f508a3 sb a5,81(a0)
40002370: f8842783 lw a5,-120(s0)
40002374: 5422 lw s0,40(sp)
40002376: 50b2 lw ra,44(sp)
40002378: 5492 lw s1,36(sp)
4000237a: 5902 lw s2,32(sp)
4000237c: 49f2 lw s3,28(sp)
4000237e: 4a62 lw s4,24(sp)
40002380: 4ad2 lw s5,20(sp)
40002382: 4b42 lw s6,16(sp)
40002384: 3007a303 lw t1,768(a5)
40002388: 6145 addi sp,sp,48
4000238a: 8302 jr t1
4000238c <r_rw_cryto_aes_cmac>:
4000238c: 1101 addi sp,sp,-32
4000238e: cc22 sw s0,24(sp)
40002390: 3fce0437 lui s0,0x3fce0
40002394: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40002398: c84a sw s2,16(sp)
4000239a: 892e mv s2,a1
4000239c: 31c7a803 lw a6,796(a5)
400023a0: 3407a583 lw a1,832(a5)
400023a4: ca26 sw s1,20(sp)
400023a6: c64e sw s3,12(sp)
400023a8: ce06 sw ra,28(sp)
400023aa: 84aa mv s1,a0
400023ac: 89b2 mv s3,a2
400023ae: 03400513 li a0,52
400023b2: 8636 mv a2,a3
400023b4: 86ba mv a3,a4
400023b6: 9802 jalr a6
400023b8: f8842783 lw a5,-120(s0)
400023bc: 4462 lw s0,24(sp)
400023be: 40f2 lw ra,28(sp)
400023c0: 3447a303 lw t1,836(a5)
400023c4: 86ce mv a3,s3
400023c6: 864a mv a2,s2
400023c8: 49b2 lw s3,12(sp)
400023ca: 4942 lw s2,16(sp)
400023cc: 85a6 mv a1,s1
400023ce: 44d2 lw s1,20(sp)
400023d0: 6105 addi sp,sp,32
400023d2: 8302 jr t1
400023d4 <r_aes_cmac_start>:
400023d4: 1141 addi sp,sp,-16
400023d6: c422 sw s0,8(sp)
400023d8: c606 sw ra,12(sp)
400023da: 02d51823 sh a3,48(a0)
400023de: 4785 li a5,1
400023e0: 06bd addi a3,a3,15
400023e2: cd4c sw a1,28(a0)
400023e4: 02f509a3 sb a5,51(a0)
400023e8: 8691 srai a3,a3,0x4
400023ea: 02050793 addi a5,a0,32
400023ee: 3ff1f5b7 lui a1,0x3ff1f
400023f2: 842a mv s0,a0
400023f4: cd10 sw a2,24(a0)
400023f6: 02d50923 sb a3,50(a0)
400023fa: 75058593 addi a1,a1,1872 # 3ff1f750 <aes_cmac_zero>
400023fe: 4641 li a2,16
40002400: 853e mv a0,a5
40002402: 3b8560ef jal ra,400587ba <memcpy>
40002406: 3fce0737 lui a4,0x3fce0
4000240a: f8872703 lw a4,-120(a4) # 3fcdff88 <r_modules_funcs_p>
4000240e: 85aa mv a1,a0
40002410: 8522 mv a0,s0
40002412: 4422 lw s0,8(sp)
40002414: 40b2 lw ra,12(sp)
40002416: 34072303 lw t1,832(a4)
4000241a: 0141 addi sp,sp,16
4000241c: 8302 jr t1
4000241e <r_aes_cmac_continue>:
4000241e: 7139 addi sp,sp,-64
40002420: dc22 sw s0,56(sp)
40002422: de06 sw ra,60(sp)
40002424: da26 sw s1,52(sp)
40002426: d84a sw s2,48(sp)
40002428: d64e sw s3,44(sp)
4000242a: 03354783 lbu a5,51(a0)
4000242e: 03254703 lbu a4,50(a0)
40002432: 842a mv s0,a0
40002434: 04e7fa63 bgeu a5,a4,40002488 <r_aes_cmac_continue+0x6a>
40002438: 03055603 lhu a2,48(a0)
4000243c: 3fce0937 lui s2,0x3fce0
40002440: f8892703 lw a4,-120(s2) # 3fcdff88 <r_modules_funcs_p>
40002444: 0792 slli a5,a5,0x4
40002446: 40f607b3 sub a5,a2,a5
4000244a: 4d10 lw a2,24(a0)
4000244c: 32872703 lw a4,808(a4)
40002450: 02050493 addi s1,a0,32
40002454: 963e add a2,a2,a5
40002456: 46c1 li a3,16
40002458: 8526 mv a0,s1
4000245a: 9702 jalr a4
4000245c: f8892783 lw a5,-120(s2)
40002460: 4c4c lw a1,28(s0)
40002462: 8626 mv a2,s1
40002464: 3247a783 lw a5,804(a5)
40002468: 8522 mv a0,s0
4000246a: 9782 jalr a5
4000246c: 03344783 lbu a5,51(s0)
40002470: 0785 addi a5,a5,1
40002472: 02f409a3 sb a5,51(s0)
40002476: 4701 li a4,0
40002478: 50f2 lw ra,60(sp)
4000247a: 5462 lw s0,56(sp)
4000247c: 54d2 lw s1,52(sp)
4000247e: 5942 lw s2,48(sp)
40002480: 59b2 lw s3,44(sp)
40002482: 853a mv a0,a4
40002484: 6121 addi sp,sp,64
40002486: 8082 ret
40002488: 0fd00713 li a4,253
4000248c: 02f76863 bltu a4,a5,400024bc <r_aes_cmac_continue+0x9e>
40002490: 57f9 li a5,-2
40002492: 02f509a3 sb a5,51(a0)
40002496: 4641 li a2,16
40002498: 02050513 addi a0,a0,32
4000249c: 31e560ef jal ra,400587ba <memcpy>
400024a0: 3fce07b7 lui a5,0x3fce0
400024a4: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400024a8: 3ff1f637 lui a2,0x3ff1f
400024ac: 75060613 addi a2,a2,1872 # 3ff1f750 <aes_cmac_zero>
400024b0: 3247a783 lw a5,804(a5)
400024b4: 4c4c lw a1,28(s0)
400024b6: 8522 mv a0,s0
400024b8: 9782 jalr a5
400024ba: bf75 j 40002476 <r_aes_cmac_continue+0x58>
400024bc: 0ff00693 li a3,255
400024c0: 4705 li a4,1
400024c2: fad78be3 beq a5,a3,40002478 <r_aes_cmac_continue+0x5a>
400024c6: 57fd li a5,-1
400024c8: 02f409a3 sb a5,51(s0)
400024cc: 03045783 lhu a5,48(s0)
400024d0: 3fce04b7 lui s1,0x3fce0
400024d4: 00f5c983 lbu s3,15(a1)
400024d8: 00f7f913 andi s2,a5,15
400024dc: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400024e0: 852e mv a0,a1
400024e2: 0079d993 srli s3,s3,0x7
400024e6: 3207a783 lw a5,800(a5)
400024ea: 858a mv a1,sp
400024ec: 9782 jalr a5
400024ee: 00098863 beqz s3,400024fe <r_aes_cmac_continue+0xe0>
400024f2: 00014783 lbu a5,0(sp)
400024f6: f877c793 xori a5,a5,-121
400024fa: 00f10023 sb a5,0(sp)
400024fe: 00091563 bnez s2,40002508 <r_aes_cmac_continue+0xea>
40002502: 03244783 lbu a5,50(s0)
40002506: e3dd bnez a5,400025ac <r_aes_cmac_continue+0x18e>
40002508: f884a783 lw a5,-120(s1)
4000250c: 00f14983 lbu s3,15(sp)
40002510: 858a mv a1,sp
40002512: 3207a783 lw a5,800(a5)
40002516: 0079d993 srli s3,s3,0x7
4000251a: 850a mv a0,sp
4000251c: 9782 jalr a5
4000251e: 00098863 beqz s3,4000252e <r_aes_cmac_continue+0x110>
40002522: 00014783 lbu a5,0(sp)
40002526: f877c793 xori a5,a5,-121
4000252a: 00f10023 sb a5,0(sp)
4000252e: 0ff97793 andi a5,s2,255
40002532: 1010 addi a2,sp,32
40002534: 8e1d sub a2,a2,a5
40002536: 0814 addi a3,sp,16
40002538: 4701 li a4,0
4000253a: 063d addi a2,a2,15
4000253c: f8000813 li a6,-128
40002540: 4541 li a0,16
40002542: 0ff77593 andi a1,a4,255
40002546: 04f5fb63 bgeu a1,a5,4000259c <r_aes_cmac_continue+0x17e>
4000254a: 4c0c lw a1,24(s0)
4000254c: 01010313 addi t1,sp,16
40002550: 95ba add a1,a1,a4
40002552: 0005c883 lbu a7,0(a1)
40002556: 40f705b3 sub a1,a4,a5
4000255a: 959a add a1,a1,t1
4000255c: 01158823 sb a7,16(a1)
40002560: 0705 addi a4,a4,1
40002562: 16fd addi a3,a3,-1
40002564: fca71fe3 bne a4,a0,40002542 <r_aes_cmac_continue+0x124>
40002568: f884a783 lw a5,-120(s1)
4000256c: 080c addi a1,sp,16
4000256e: 852e mv a0,a1
40002570: 3287a783 lw a5,808(a5)
40002574: 46c1 li a3,16
40002576: 860a mv a2,sp
40002578: 9782 jalr a5
4000257a: f884a783 lw a5,-120(s1)
4000257e: 02040913 addi s2,s0,32
40002582: 864a mv a2,s2
40002584: 3287a783 lw a5,808(a5)
40002588: 46c1 li a3,16
4000258a: 080c addi a1,sp,16
4000258c: 854a mv a0,s2
4000258e: 9782 jalr a5
40002590: f884a783 lw a5,-120(s1)
40002594: 864a mv a2,s2
40002596: 3247a783 lw a5,804(a5)
4000259a: bf29 j 400024b4 <r_aes_cmac_continue+0x96>
4000259c: 00b79563 bne a5,a1,400025a6 <r_aes_cmac_continue+0x188>
400025a0: ff060823 sb a6,-16(a2)
400025a4: bf75 j 40002560 <r_aes_cmac_continue+0x142>
400025a6: 000687a3 sb zero,15(a3)
400025aa: bf5d j 40002560 <r_aes_cmac_continue+0x142>
400025ac: 4c0c lw a1,24(s0)
400025ae: 4641 li a2,16
400025b0: 0808 addi a0,sp,16
400025b2: 208560ef jal ra,400587ba <memcpy>
400025b6: bf4d j 40002568 <r_aes_cmac_continue+0x14a>
400025b8 <r_aes_k1_continue>:
400025b8: 1141 addi sp,sp,-16
400025ba: c226 sw s1,4(sp)
400025bc: 3fce04b7 lui s1,0x3fce0
400025c0: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400025c4: c422 sw s0,8(sp)
400025c6: c04a sw s2,0(sp)
400025c8: 3407a783 lw a5,832(a5)
400025cc: c606 sw ra,12(sp)
400025ce: 842a mv s0,a0
400025d0: 892e mv s2,a1
400025d2: 9782 jalr a5
400025d4: c905 beqz a0,40002604 <r_aes_k1_continue+0x4c>
400025d6: 03944783 lbu a5,57(s0)
400025da: c78d beqz a5,40002604 <r_aes_k1_continue+0x4c>
400025dc: 03a40793 addi a5,s0,58
400025e0: 4641 li a2,16
400025e2: 85ca mv a1,s2
400025e4: 853e mv a0,a5
400025e6: 1d4560ef jal ra,400587ba <memcpy>
400025ea: f884a703 lw a4,-120(s1)
400025ee: 02040ca3 sb zero,57(s0)
400025f2: 03844683 lbu a3,56(s0)
400025f6: 34472703 lw a4,836(a4)
400025fa: 5850 lw a2,52(s0)
400025fc: 85aa mv a1,a0
400025fe: 8522 mv a0,s0
40002600: 9702 jalr a4
40002602: 4501 li a0,0
40002604: 40b2 lw ra,12(sp)
40002606: 4422 lw s0,8(sp)
40002608: 4492 lw s1,4(sp)
4000260a: 4902 lw s2,0(sp)
4000260c: 0141 addi sp,sp,16
4000260e: 8082 ret
40002610 <r_rw_crypto_aes_k1>:
40002610: 7179 addi sp,sp,-48
40002612: d422 sw s0,40(sp)
40002614: 3fce0437 lui s0,0x3fce0
40002618: d04a sw s2,32(sp)
4000261a: 892e mv s2,a1
4000261c: f8842583 lw a1,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40002620: d226 sw s1,36(sp)
40002622: ce4e sw s3,28(sp)
40002624: 31c5a883 lw a7,796(a1)
40002628: 2f05a583 lw a1,752(a1)
4000262c: cc52 sw s4,24(sp)
4000262e: d606 sw ra,44(sp)
40002630: 84aa mv s1,a0
40002632: 89b2 mv s3,a2
40002634: 8a36 mv s4,a3
40002636: 863e mv a2,a5
40002638: 86c2 mv a3,a6
4000263a: 04c00513 li a0,76
4000263e: c63a sw a4,12(sp)
40002640: 9882 jalr a7
40002642: 4732 lw a4,12(sp)
40002644: f8842783 lw a5,-120(s0)
40002648: 03452a23 sw s4,52(a0)
4000264c: 02e50c23 sb a4,56(a0)
40002650: 4705 li a4,1
40002652: 02e50ca3 sb a4,57(a0)
40002656: 5422 lw s0,40(sp)
40002658: 50b2 lw ra,44(sp)
4000265a: 4a62 lw s4,24(sp)
4000265c: 3447a303 lw t1,836(a5)
40002660: 86ce mv a3,s3
40002662: 864a mv a2,s2
40002664: 49f2 lw s3,28(sp)
40002666: 5902 lw s2,32(sp)
40002668: 85a6 mv a1,s1
4000266a: 5492 lw s1,36(sp)
4000266c: 6145 addi sp,sp,48
4000266e: 8302 jr t1
40002670 <r_aes_k2_continue>:
40002670: 1101 addi sp,sp,-32
40002672: c64e sw s3,12(sp)
40002674: 3fce09b7 lui s3,0x3fce0
40002678: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
4000267c: cc22 sw s0,24(sp)
4000267e: ca26 sw s1,20(sp)
40002680: 3407a783 lw a5,832(a5)
40002684: c84a sw s2,16(sp)
40002686: ce06 sw ra,28(sp)
40002688: c452 sw s4,8(sp)
4000268a: 842a mv s0,a0
4000268c: 84ae mv s1,a1
4000268e: 9782 jalr a5
40002690: 892a mv s2,a0
40002692: cd35 beqz a0,4000270e <r_aes_k2_continue+0x9e>
40002694: 03844503 lbu a0,56(s0)
40002698: 4a05 li s4,1
4000269a: 09450363 beq a0,s4,40002720 <r_aes_k2_continue+0xb0>
4000269e: c50d beqz a0,400026c8 <r_aes_k2_continue+0x58>
400026a0: 4789 li a5,2
400026a2: 0cf50063 beq a0,a5,40002762 <r_aes_k2_continue+0xf2>
400026a6: 478d li a5,3
400026a8: 0cf50e63 beq a0,a5,40002784 <r_aes_k2_continue+0x114>
400026ac: 3fce07b7 lui a5,0x3fce0
400026b0: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400026b4: 3ff1a637 lui a2,0x3ff1a
400026b8: 0bd00693 li a3,189
400026bc: 47dc lw a5,12(a5)
400026be: 3b060613 addi a2,a2,944 # 3ff1a3b0 <CSWTCH.72+0x84>
400026c2: 4581 li a1,0
400026c4: 9782 jalr a5
400026c6: a0a1 j 4000270e <r_aes_k2_continue+0x9e>
400026c8: 03a40913 addi s2,s0,58
400026cc: 4641 li a2,16
400026ce: 85a6 mv a1,s1
400026d0: 854a mv a0,s2
400026d2: 0e8560ef jal ra,400587ba <memcpy>
400026d6: f889a783 lw a5,-120(s3)
400026da: 4681 li a3,0
400026dc: 4601 li a2,0
400026de: 3447a783 lw a5,836(a5)
400026e2: 85ca mv a1,s2
400026e4: 8522 mv a0,s0
400026e6: 9782 jalr a5
400026e8: 03944683 lbu a3,57(s0)
400026ec: f889a783 lw a5,-120(s3)
400026f0: 07440523 sb s4,106(s0)
400026f4: 16c1 addi a3,a3,-16
400026f6: 3447a783 lw a5,836(a5)
400026fa: 06c2 slli a3,a3,0x10
400026fc: 82c1 srli a3,a3,0x10
400026fe: 06a40613 addi a2,s0,106
40002702: 85ca mv a1,s2
40002704: 8522 mv a0,s0
40002706: 9782 jalr a5
40002708: 03440c23 sb s4,56(s0)
4000270c: 4901 li s2,0
4000270e: 40f2 lw ra,28(sp)
40002710: 4462 lw s0,24(sp)
40002712: 854a mv a0,s2
40002714: 44d2 lw s1,20(sp)
40002716: 4942 lw s2,16(sp)
40002718: 49b2 lw s3,12(sp)
4000271a: 4a22 lw s4,8(sp)
4000271c: 6105 addi sp,sp,32
4000271e: 8082 ret
40002720: 85a6 mv a1,s1
40002722: 4641 li a2,16
40002724: 05a40513 addi a0,s0,90
40002728: 092560ef jal ra,400587ba <memcpy>
4000272c: 03944503 lbu a0,57(s0)
40002730: 85a6 mv a1,s1
40002732: 4641 li a2,16
40002734: 05a50513 addi a0,a0,90
40002738: 9522 add a0,a0,s0
4000273a: 080560ef jal ra,400587ba <memcpy>
4000273e: 4489 li s1,2
40002740: f889a783 lw a5,-120(s3)
40002744: 06940523 sb s1,106(s0)
40002748: 03944683 lbu a3,57(s0)
4000274c: 3447a783 lw a5,836(a5)
40002750: 06a40613 addi a2,s0,106
40002754: 03a40593 addi a1,s0,58
40002758: 8522 mv a0,s0
4000275a: 9782 jalr a5
4000275c: 02940c23 sb s1,56(s0)
40002760: b775 j 4000270c <r_aes_k2_continue+0x9c>
40002762: 85a6 mv a1,s1
40002764: 4641 li a2,16
40002766: 04a40513 addi a0,s0,74
4000276a: 050560ef jal ra,400587ba <memcpy>
4000276e: 03944503 lbu a0,57(s0)
40002772: 85a6 mv a1,s1
40002774: 4641 li a2,16
40002776: 05a50513 addi a0,a0,90
4000277a: 9522 add a0,a0,s0
4000277c: 03e560ef jal ra,400587ba <memcpy>
40002780: 448d li s1,3
40002782: bf7d j 40002740 <r_aes_k2_continue+0xd0>
40002784: 03a40713 addi a4,s0,58
40002788: 4641 li a2,16
4000278a: 85a6 mv a1,s1
4000278c: 853a mv a0,a4
4000278e: 02c560ef jal ra,400587ba <memcpy>
40002792: 05a44783 lbu a5,90(s0)
40002796: 07f7f793 andi a5,a5,127
4000279a: 04f40d23 sb a5,90(s0)
4000279e: 585c lw a5,52(s0)
400027a0: d7bd beqz a5,4000270e <r_aes_k2_continue+0x9e>
400027a2: 4850 lw a2,20(s0)
400027a4: 85aa mv a1,a0
400027a6: 4501 li a0,0
400027a8: 9782 jalr a5
400027aa: b795 j 4000270e <r_aes_k2_continue+0x9e>
400027ac <r_rw_crypto_aes_k2>:
400027ac: 1101 addi sp,sp,-32
400027ae: c84a sw s2,16(sp)
400027b0: 3fce0937 lui s2,0x3fce0
400027b4: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
400027b8: ca26 sw s1,20(sp)
400027ba: c256 sw s5,4(sp)
400027bc: 31c7a803 lw a6,796(a5)
400027c0: 8aae mv s5,a1
400027c2: 01160493 addi s1,a2,17
400027c6: 2e87a583 lw a1,744(a5)
400027ca: 0ff4f493 andi s1,s1,255
400027ce: ce06 sw ra,28(sp)
400027d0: cc22 sw s0,24(sp)
400027d2: c64e sw s3,12(sp)
400027d4: c452 sw s4,8(sp)
400027d6: c05a sw s6,0(sp)
400027d8: 8a2a mv s4,a0
400027da: 89b2 mv s3,a2
400027dc: 8b36 mv s6,a3
400027de: 4601 li a2,0
400027e0: 86ba mv a3,a4
400027e2: 06c48513 addi a0,s1,108
400027e6: 9802 jalr a6
400027e8: 842a mv s0,a0
400027ea: 03652a23 sw s6,52(a0)
400027ee: 02950ca3 sb s1,57(a0)
400027f2: 864e mv a2,s3
400027f4: 85d6 mv a1,s5
400027f6: 02050c23 sb zero,56(a0)
400027fa: 06b50513 addi a0,a0,107
400027fe: 7bd550ef jal ra,400587ba <memcpy>
40002802: f8892783 lw a5,-120(s2)
40002806: 8522 mv a0,s0
40002808: 4462 lw s0,24(sp)
4000280a: 40f2 lw ra,28(sp)
4000280c: 44d2 lw s1,20(sp)
4000280e: 4942 lw s2,16(sp)
40002810: 49b2 lw s3,12(sp)
40002812: 4a92 lw s5,4(sp)
40002814: 4b02 lw s6,0(sp)
40002816: 3447a303 lw t1,836(a5)
4000281a: 8652 mv a2,s4
4000281c: 4a22 lw s4,8(sp)
4000281e: 3ff1f5b7 lui a1,0x3ff1f
40002822: 46c1 li a3,16
40002824: 74058593 addi a1,a1,1856 # 3ff1f740 <aes_k2_salt>
40002828: 6105 addi sp,sp,32
4000282a: 8302 jr t1
4000282c <r_aes_k3_continue>:
4000282c: 1141 addi sp,sp,-16
4000282e: c226 sw s1,4(sp)
40002830: 3fce04b7 lui s1,0x3fce0
40002834: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40002838: c422 sw s0,8(sp)
4000283a: c04a sw s2,0(sp)
4000283c: 3407a783 lw a5,832(a5)
40002840: c606 sw ra,12(sp)
40002842: 842a mv s0,a0
40002844: 892e mv s2,a1
40002846: 9782 jalr a5
40002848: c915 beqz a0,4000287c <r_aes_k3_continue+0x50>
4000284a: 03444783 lbu a5,52(s0)
4000284e: c79d beqz a5,4000287c <r_aes_k3_continue+0x50>
40002850: 03540793 addi a5,s0,53
40002854: 4641 li a2,16
40002856: 85ca mv a1,s2
40002858: 853e mv a0,a5
4000285a: 761550ef jal ra,400587ba <memcpy>
4000285e: f884a703 lw a4,-120(s1)
40002862: 02040a23 sb zero,52(s0)
40002866: 3ff1f637 lui a2,0x3ff1f
4000286a: 34472703 lw a4,836(a4)
4000286e: 85aa mv a1,a0
40002870: 4695 li a3,5
40002872: 8522 mv a0,s0
40002874: 73860613 addi a2,a2,1848 # 3ff1f738 <aes_k3_id64>
40002878: 9702 jalr a4
4000287a: 4501 li a0,0
4000287c: 40b2 lw ra,12(sp)
4000287e: 4422 lw s0,8(sp)
40002880: 4492 lw s1,4(sp)
40002882: 4902 lw s2,0(sp)
40002884: 0141 addi sp,sp,16
40002886: 8082 ret
40002888 <r_rw_crypto_aes_k3>:
40002888: 1141 addi sp,sp,-16
4000288a: c422 sw s0,8(sp)
4000288c: 3fce0437 lui s0,0x3fce0
40002890: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40002894: 86b2 mv a3,a2
40002896: 862e mv a2,a1
40002898: 31c7a703 lw a4,796(a5)
4000289c: 2f87a583 lw a1,760(a5)
400028a0: c226 sw s1,4(sp)
400028a2: c606 sw ra,12(sp)
400028a4: 84aa mv s1,a0
400028a6: 04800513 li a0,72
400028aa: 9702 jalr a4
400028ac: 4785 li a5,1
400028ae: 02f50a23 sb a5,52(a0)
400028b2: f8842783 lw a5,-120(s0)
400028b6: 4422 lw s0,8(sp)
400028b8: 40b2 lw ra,12(sp)
400028ba: 3447a303 lw t1,836(a5)
400028be: 8626 mv a2,s1
400028c0: 4492 lw s1,4(sp)
400028c2: 3ff1f5b7 lui a1,0x3ff1f
400028c6: 46c1 li a3,16
400028c8: 72858593 addi a1,a1,1832 # 3ff1f728 <aes_k3_salt>
400028cc: 0141 addi sp,sp,16
400028ce: 8302 jr t1
400028d0 <r_aes_k4_continue>:
400028d0: 1141 addi sp,sp,-16
400028d2: c04a sw s2,0(sp)
400028d4: 3fce0937 lui s2,0x3fce0
400028d8: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
400028dc: c422 sw s0,8(sp)
400028de: c226 sw s1,4(sp)
400028e0: 3407a783 lw a5,832(a5)
400028e4: c606 sw ra,12(sp)
400028e6: 842a mv s0,a0
400028e8: 84ae mv s1,a1
400028ea: 9782 jalr a5
400028ec: c915 beqz a0,40002920 <r_aes_k4_continue+0x50>
400028ee: 03444783 lbu a5,52(s0)
400028f2: cf8d beqz a5,4000292c <r_aes_k4_continue+0x5c>
400028f4: 03540793 addi a5,s0,53
400028f8: 4641 li a2,16
400028fa: 85a6 mv a1,s1
400028fc: 853e mv a0,a5
400028fe: 6bd550ef jal ra,400587ba <memcpy>
40002902: f8892703 lw a4,-120(s2)
40002906: 02040a23 sb zero,52(s0)
4000290a: 3ff1f637 lui a2,0x3ff1f
4000290e: 34472703 lw a4,836(a4)
40002912: 85aa mv a1,a0
40002914: 4691 li a3,4
40002916: 8522 mv a0,s0
40002918: 72460613 addi a2,a2,1828 # 3ff1f724 <aes_k4_id6>
4000291c: 9702 jalr a4
4000291e: 4501 li a0,0
40002920: 40b2 lw ra,12(sp)
40002922: 4422 lw s0,8(sp)
40002924: 4492 lw s1,4(sp)
40002926: 4902 lw s2,0(sp)
40002928: 0141 addi sp,sp,16
4000292a: 8082 ret
4000292c: 0004c783 lbu a5,0(s1)
40002930: 03f7f793 andi a5,a5,63
40002934: 00f48023 sb a5,0(s1)
40002938: b7e5 j 40002920 <r_aes_k4_continue+0x50>
4000293a <r_rw_crypto_aes_k4>:
4000293a: 1141 addi sp,sp,-16
4000293c: c422 sw s0,8(sp)
4000293e: 3fce0437 lui s0,0x3fce0
40002942: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40002946: 86b2 mv a3,a2
40002948: 862e mv a2,a1
4000294a: 31c7a703 lw a4,796(a5)
4000294e: 3147a583 lw a1,788(a5)
40002952: c226 sw s1,4(sp)
40002954: c606 sw ra,12(sp)
40002956: 84aa mv s1,a0
40002958: 04800513 li a0,72
4000295c: 9702 jalr a4
4000295e: 4785 li a5,1
40002960: 02f50a23 sb a5,52(a0)
40002964: f8842783 lw a5,-120(s0)
40002968: 4422 lw s0,8(sp)
4000296a: 40b2 lw ra,12(sp)
4000296c: 3447a303 lw t1,836(a5)
40002970: 8626 mv a2,s1
40002972: 4492 lw s1,4(sp)
40002974: 3ff1f5b7 lui a1,0x3ff1f
40002978: 46c1 li a3,16
4000297a: 71458593 addi a1,a1,1812 # 3ff1f714 <aes_k4_salt>
4000297e: 0141 addi sp,sp,16
40002980: 8302 jr t1
40002982 <r_rw_crypto_aes_init>:
40002982: 1141 addi sp,sp,-16
40002984: c606 sw ra,12(sp)
40002986: c422 sw s0,8(sp)
40002988: c226 sw s1,4(sp)
4000298a: c04a sw s2,0(sp)
4000298c: 4785 li a5,1
4000298e: 00f50e63 beq a0,a5,400029aa <r_rw_crypto_aes_init+0x28>
40002992: 4789 li a5,2
40002994: 02f51963 bne a0,a5,400029c6 <r_rw_crypto_aes_init+0x44>
40002998: 3fce04b7 lui s1,0x3fce0
4000299c: 3fce0437 lui s0,0x3fce0
400029a0: f6c42703 lw a4,-148(s0) # 3fcdff6c <aes_env>
400029a4: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400029a8: e70d bnez a4,400029d2 <r_rw_crypto_aes_init+0x50>
400029aa: 3fce07b7 lui a5,0x3fce0
400029ae: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400029b2: 3fce0537 lui a0,0x3fce0
400029b6: f6c50413 addi s0,a0,-148 # 3fcdff6c <aes_env>
400029ba: 57dc lw a5,44(a5)
400029bc: f6c50513 addi a0,a0,-148
400029c0: 9782 jalr a5
400029c2: 00040423 sb zero,8(s0)
400029c6: 40b2 lw ra,12(sp)
400029c8: 4422 lw s0,8(sp)
400029ca: 4492 lw s1,4(sp)
400029cc: 4902 lw s2,0(sp)
400029ce: 0141 addi sp,sp,16
400029d0: 8082 ret
400029d2: 1107a903 lw s2,272(a5)
400029d6: 43bc lw a5,64(a5)
400029d8: f6c40513 addi a0,s0,-148
400029dc: 9782 jalr a5
400029de: 9902 jalr s2
400029e0: b7c1 j 400029a0 <r_rw_crypto_aes_init+0x1e>
400029e2 <r_aes_alloc>:
400029e2: 3fce07b7 lui a5,0x3fce0
400029e6: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400029ea: 1101 addi sp,sp,-32
400029ec: cc22 sw s0,24(sp)
400029ee: 1207a783 lw a5,288(a5)
400029f2: ce06 sw ra,28(sp)
400029f4: 842e mv s0,a1
400029f6: 458d li a1,3
400029f8: c632 sw a2,12(sp)
400029fa: c436 sw a3,8(sp)
400029fc: 9782 jalr a5
400029fe: 4632 lw a2,12(sp)
40002a00: 46a2 lw a3,8(sp)
40002a02: c140 sw s0,4(a0)
40002a04: 40f2 lw ra,28(sp)
40002a06: 4462 lw s0,24(sp)
40002a08: c510 sw a2,8(a0)
40002a0a: c954 sw a3,20(a0)
40002a0c: 6105 addi sp,sp,32
40002a0e: 8082 ret
40002a10 <r_aes_start>:
40002a10: 7179 addi sp,sp,-48
40002a12: d04a sw s2,32(sp)
40002a14: 3fce0937 lui s2,0x3fce0
40002a18: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
40002a1c: d422 sw s0,40(sp)
40002a1e: 842a mv s0,a0
40002a20: 43fc lw a5,68(a5)
40002a22: 3fce0537 lui a0,0x3fce0
40002a26: d226 sw s1,36(sp)
40002a28: ce4e sw s3,28(sp)
40002a2a: 84ae mv s1,a1
40002a2c: f6c50993 addi s3,a0,-148 # 3fcdff6c <aes_env>
40002a30: d606 sw ra,44(sp)
40002a32: 85a2 mv a1,s0
40002a34: f6c50513 addi a0,a0,-148
40002a38: c632 sw a2,12(sp)
40002a3a: 9782 jalr a5
40002a3c: 4632 lw a2,12(sp)
40002a3e: 0089c783 lbu a5,8(s3)
40002a42: c444 sw s1,12(s0)
40002a44: c810 sw a2,16(s0)
40002a46: e38d bnez a5,40002a68 <r_aes_start+0x58>
40002a48: 4785 li a5,1
40002a4a: 00f98423 sb a5,8(s3)
40002a4e: f8892783 lw a5,-120(s2)
40002a52: 5422 lw s0,40(sp)
40002a54: 50b2 lw ra,44(sp)
40002a56: 5902 lw s2,32(sp)
40002a58: 49f2 lw s3,28(sp)
40002a5a: 2907a303 lw t1,656(a5)
40002a5e: 8526 mv a0,s1
40002a60: 5492 lw s1,36(sp)
40002a62: 85b2 mv a1,a2
40002a64: 6145 addi sp,sp,48
40002a66: 8302 jr t1
40002a68: 50b2 lw ra,44(sp)
40002a6a: 5422 lw s0,40(sp)
40002a6c: 5492 lw s1,36(sp)
40002a6e: 5902 lw s2,32(sp)
40002a70: 49f2 lw s3,28(sp)
40002a72: 6145 addi sp,sp,48
40002a74: 8082 ret
40002a76 <r_rw_crypto_aes_encrypt>:
40002a76: 1101 addi sp,sp,-32
40002a78: ca26 sw s1,20(sp)
40002a7a: 3fce04b7 lui s1,0x3fce0
40002a7e: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40002a82: c64e sw s3,12(sp)
40002a84: c452 sw s4,8(sp)
40002a86: ce06 sw ra,28(sp)
40002a88: cc22 sw s0,24(sp)
40002a8a: c84a sw s2,16(sp)
40002a8c: 89ae mv s3,a1
40002a8e: 8a2a mv s4,a0
40002a90: 85b6 mv a1,a3
40002a92: 31c7a783 lw a5,796(a5)
40002a96: 86ba mv a3,a4
40002a98: e21d bnez a2,40002abe <r_rw_crypto_aes_encrypt+0x48>
40002a9a: 862e mv a2,a1
40002a9c: 4561 li a0,24
40002a9e: 4581 li a1,0
40002aa0: 9782 jalr a5
40002aa2: f884a783 lw a5,-120(s1)
40002aa6: 864e mv a2,s3
40002aa8: 85d2 mv a1,s4
40002aaa: 3247a303 lw t1,804(a5)
40002aae: 4462 lw s0,24(sp)
40002ab0: 40f2 lw ra,28(sp)
40002ab2: 44d2 lw s1,20(sp)
40002ab4: 4942 lw s2,16(sp)
40002ab6: 49b2 lw s3,12(sp)
40002ab8: 4a22 lw s4,8(sp)
40002aba: 6105 addi sp,sp,32
40002abc: 8302 jr t1
40002abe: 862e mv a2,a1
40002ac0: 03800513 li a0,56
40002ac4: 4581 li a1,0
40002ac6: 9782 jalr a5
40002ac8: 01850913 addi s2,a0,24
40002acc: 842a mv s0,a0
40002ace: 4641 li a2,16
40002ad0: 85d2 mv a1,s4
40002ad2: 854a mv a0,s2
40002ad4: 4e7550ef jal ra,400587ba <memcpy>
40002ad8: 02840793 addi a5,s0,40
40002adc: 4641 li a2,16
40002ade: 85ce mv a1,s3
40002ae0: 853e mv a0,a5
40002ae2: 4d9550ef jal ra,400587ba <memcpy>
40002ae6: f884a703 lw a4,-120(s1)
40002aea: 862a mv a2,a0
40002aec: 85ca mv a1,s2
40002aee: 32472303 lw t1,804(a4)
40002af2: 8522 mv a0,s0
40002af4: bf6d j 40002aae <r_rw_crypto_aes_encrypt+0x38>
40002af6 <r_rw_crypto_aes_rand>:
40002af6: 715d addi sp,sp,-80
40002af8: c4a2 sw s0,72(sp)
40002afa: 0800 addi s0,sp,16
40002afc: c2a6 sw s1,68(sp)
40002afe: c0ca sw s2,64(sp)
40002b00: de4e sw s3,60(sp)
40002b02: c686 sw ra,76(sp)
40002b04: 86aa mv a3,a0
40002b06: 872e mv a4,a1
40002b08: 03010913 addi s2,sp,48
40002b0c: 84a2 mv s1,s0
40002b0e: 3fce09b7 lui s3,0x3fce0
40002b12: f849a783 lw a5,-124(s3) # 3fcdff84 <r_osi_funcs_p>
40002b16: c63a sw a4,12(sp)
40002b18: c436 sw a3,8(sp)
40002b1a: 0887a783 lw a5,136(a5)
40002b1e: 0411 addi s0,s0,4
40002b20: 9782 jalr a5
40002b22: fea42e23 sw a0,-4(s0)
40002b26: 46a2 lw a3,8(sp)
40002b28: 4732 lw a4,12(sp)
40002b2a: ff2414e3 bne s0,s2,40002b12 <r_rw_crypto_aes_rand+0x1c>
40002b2e: 3fce07b7 lui a5,0x3fce0
40002b32: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40002b36: 100c addi a1,sp,32
40002b38: 8526 mv a0,s1
40002b3a: 32c7a783 lw a5,812(a5)
40002b3e: 4605 li a2,1
40002b40: 9782 jalr a5
40002b42: 40b6 lw ra,76(sp)
40002b44: 4426 lw s0,72(sp)
40002b46: 4496 lw s1,68(sp)
40002b48: 4906 lw s2,64(sp)
40002b4a: 59f2 lw s3,60(sp)
40002b4c: 6161 addi sp,sp,80
40002b4e: 8082 ret
40002b50 <r_rw_crypto_aes_result_handler>:
40002b50: 1101 addi sp,sp,-32
40002b52: ca26 sw s1,20(sp)
40002b54: 3fce04b7 lui s1,0x3fce0
40002b58: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40002b5c: c64e sw s3,12(sp)
40002b5e: 89aa mv s3,a0
40002b60: 43bc lw a5,64(a5)
40002b62: 3fce0537 lui a0,0x3fce0
40002b66: cc22 sw s0,24(sp)
40002b68: c84a sw s2,16(sp)
40002b6a: c452 sw s4,8(sp)
40002b6c: f6c50913 addi s2,a0,-148 # 3fcdff6c <aes_env>
40002b70: ce06 sw ra,28(sp)
40002b72: f6c50513 addi a0,a0,-148
40002b76: 8a2e mv s4,a1
40002b78: 9782 jalr a5
40002b7a: 00092783 lw a5,0(s2)
40002b7e: 842a mv s0,a0
40002b80: e78d bnez a5,40002baa <r_rw_crypto_aes_result_handler+0x5a>
40002b82: 00090423 sb zero,8(s2)
40002b86: c039 beqz s0,40002bcc <r_rw_crypto_aes_result_handler+0x7c>
40002b88: 02098c63 beqz s3,40002bc0 <r_rw_crypto_aes_result_handler+0x70>
40002b8c: 441c lw a5,8(s0)
40002b8e: e7b9 bnez a5,40002bdc <r_rw_crypto_aes_result_handler+0x8c>
40002b90: f884a783 lw a5,-120(s1)
40002b94: 8522 mv a0,s0
40002b96: 4462 lw s0,24(sp)
40002b98: 40f2 lw ra,28(sp)
40002b9a: 44d2 lw s1,20(sp)
40002b9c: 4942 lw s2,16(sp)
40002b9e: 49b2 lw s3,12(sp)
40002ba0: 4a22 lw s4,8(sp)
40002ba2: 1107a303 lw t1,272(a5)
40002ba6: 6105 addi sp,sp,32
40002ba8: 8302 jr t1
40002baa: 4705 li a4,1
40002bac: 00e90423 sb a4,8(s2)
40002bb0: f884a703 lw a4,-120(s1)
40002bb4: 4b8c lw a1,16(a5)
40002bb6: 47c8 lw a0,12(a5)
40002bb8: 29072703 lw a4,656(a4)
40002bbc: 9702 jalr a4
40002bbe: b7e1 j 40002b86 <r_rw_crypto_aes_result_handler+0x36>
40002bc0: 405c lw a5,4(s0)
40002bc2: d7e9 beqz a5,40002b8c <r_rw_crypto_aes_result_handler+0x3c>
40002bc4: 85d2 mv a1,s4
40002bc6: 8522 mv a0,s0
40002bc8: 9782 jalr a5
40002bca: f169 bnez a0,40002b8c <r_rw_crypto_aes_result_handler+0x3c>
40002bcc: 40f2 lw ra,28(sp)
40002bce: 4462 lw s0,24(sp)
40002bd0: 44d2 lw s1,20(sp)
40002bd2: 4942 lw s2,16(sp)
40002bd4: 49b2 lw s3,12(sp)
40002bd6: 4a22 lw s4,8(sp)
40002bd8: 6105 addi sp,sp,32
40002bda: 8082 ret
40002bdc: 4850 lw a2,20(s0)
40002bde: 85d2 mv a1,s4
40002be0: 854e mv a0,s3
40002be2: 9782 jalr a5
40002be4: b775 j 40002b90 <r_rw_crypto_aes_result_handler+0x40>
40002be6 <r_aes_xor_128>:
40002be6: 16fd addi a3,a3,-1
40002be8: 06e2 slli a3,a3,0x18
40002bea: 86e1 srai a3,a3,0x18
40002bec: 01869793 slli a5,a3,0x18
40002bf0: 87e1 srai a5,a5,0x18
40002bf2: 0007d363 bgez a5,40002bf8 <r_aes_xor_128+0x12>
40002bf6: 8082 ret
40002bf8: 00d587b3 add a5,a1,a3
40002bfc: 00d60833 add a6,a2,a3
40002c00: 0007c783 lbu a5,0(a5)
40002c04: 00084803 lbu a6,0(a6)
40002c08: 00d50733 add a4,a0,a3
40002c0c: 16fd addi a3,a3,-1
40002c0e: 0107c7b3 xor a5,a5,a6
40002c12: 00f70023 sb a5,0(a4)
40002c16: bfd9 j 40002bec <r_aes_xor_128+0x6>
40002c18 <r_aes_shift_left_128>:
40002c18: 00e50693 addi a3,a0,14
40002c1c: 00f58713 addi a4,a1,15
40002c20: 0016c783 lbu a5,1(a3)
40002c24: 0006c603 lbu a2,0(a3)
40002c28: 177d addi a4,a4,-1
40002c2a: 0786 slli a5,a5,0x1
40002c2c: 821d srli a2,a2,0x7
40002c2e: 8fd1 or a5,a5,a2
40002c30: 00f700a3 sb a5,1(a4)
40002c34: 16fd addi a3,a3,-1
40002c36: fee595e3 bne a1,a4,40002c20 <r_aes_shift_left_128+0x8>
40002c3a: 00054783 lbu a5,0(a0)
40002c3e: 0786 slli a5,a5,0x1
40002c40: 00f58023 sb a5,0(a1)
40002c44: 8082 ret
40002c46 <r_rw_crypto_aes_s1>:
40002c46: 1141 addi sp,sp,-16
40002c48: c422 sw s0,8(sp)
40002c4a: 3fce0437 lui s0,0x3fce0
40002c4e: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40002c52: c04a sw s2,0(sp)
40002c54: 892e mv s2,a1
40002c56: 31c7a703 lw a4,796(a5)
40002c5a: 3407a583 lw a1,832(a5)
40002c5e: c226 sw s1,4(sp)
40002c60: c606 sw ra,12(sp)
40002c62: 84aa mv s1,a0
40002c64: 03400513 li a0,52
40002c68: 9702 jalr a4
40002c6a: f8842783 lw a5,-120(s0)
40002c6e: 4422 lw s0,8(sp)
40002c70: 40b2 lw ra,12(sp)
40002c72: 3447a303 lw t1,836(a5)
40002c76: 86ca mv a3,s2
40002c78: 8626 mv a2,s1
40002c7a: 4902 lw s2,0(sp)
40002c7c: 4492 lw s1,4(sp)
40002c7e: 3ff1f5b7 lui a1,0x3ff1f
40002c82: 75058593 addi a1,a1,1872 # 3ff1f750 <aes_cmac_zero>
40002c86: 0141 addi sp,sp,16
40002c88: 8302 jr t1
40002c8a <r_unloaded_area_init>:
40002c8a: 3fce07b7 lui a5,0x3fce0
40002c8e: f8c7a503 lw a0,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40002c92: 7010606f j 40009b92 <ip_funcs_eco_init>
40002c96 <btdm_controller_rom_data_init>:
40002c96: 3fce07b7 lui a5,0x3fce0
40002c9a: 9f47a683 lw a3,-1548(a5) # 3fcdf9f4 <g_bt_plf_log_level>
40002c9e: 1101 addi sp,sp,-32
40002ca0: cc22 sw s0,24(sp)
40002ca2: ca26 sw s1,20(sp)
40002ca4: c84a sw s2,16(sp)
40002ca6: c64e sw s3,12(sp)
40002ca8: 3fcdf4b7 lui s1,0x3fcdf
40002cac: 3fcdf937 lui s2,0x3fcdf
40002cb0: ce06 sw ra,28(sp)
40002cb2: 4711 li a4,4
40002cb4: 843e mv s0,a5
40002cb6: 0d048493 addi s1,s1,208 # 3fcdf0d0 <_bss_end_btdm>
40002cba: 0a890993 addi s3,s2,168 # 3fcdf0a8 <_bss_start_btdm>
40002cbe: 00d75c63 bge a4,a3,40002cd6 <btdm_controller_rom_data_init+0x40>
40002cc2: 3ff1a537 lui a0,0x3ff1a
40002cc6: 41348633 sub a2,s1,s3
40002cca: 0a890593 addi a1,s2,168
40002cce: 3bc50513 addi a0,a0,956 # 3ff1a3bc <CSWTCH.72+0x90>
40002cd2: 203450ef jal ra,400486d4 <ets_printf>
40002cd6: 41348633 sub a2,s1,s3
40002cda: 0a890513 addi a0,s2,168
40002cde: 4581 li a1,0
40002ce0: 3ff550ef jal ra,400588de <memset>
40002ce4: 9f442703 lw a4,-1548(s0)
40002ce8: 3fcdf4b7 lui s1,0x3fcdf
40002cec: 3fcdf437 lui s0,0x3fcdf
40002cf0: 4791 li a5,4
40002cf2: 0a840413 addi s0,s0,168 # 3fcdf0a8 <_bss_start_btdm>
40002cf6: 09c48993 addi s3,s1,156 # 3fcdf09c <_bss_end_uart>
40002cfa: 40059937 lui s2,0x40059
40002cfe: 00e7de63 bge a5,a4,40002d1a <btdm_controller_rom_data_init+0x84>
40002d02: 3ff1a537 lui a0,0x3ff1a
40002d06: 413406b3 sub a3,s0,s3
40002d0a: 1fc90613 addi a2,s2,508 # 400591fc <_data_start_btdm_rom>
40002d0e: 09c48593 addi a1,s1,156
40002d12: 3d850513 addi a0,a0,984 # 3ff1a3d8 <CSWTCH.72+0xac>
40002d16: 1bf450ef jal ra,400486d4 <ets_printf>
40002d1a: 41340633 sub a2,s0,s3
40002d1e: 4462 lw s0,24(sp)
40002d20: 1fc92583 lw a1,508(s2)
40002d24: 40f2 lw ra,28(sp)
40002d26: 4942 lw s2,16(sp)
40002d28: 49b2 lw s3,12(sp)
40002d2a: 09c48513 addi a0,s1,156
40002d2e: 44d2 lw s1,20(sp)
40002d30: 6105 addi sp,sp,32
40002d32: 2895506f j 400587ba <memcpy>
40002d36 <r_assert_err>:
40002d36: 3fce07b7 lui a5,0x3fce0
40002d3a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40002d3e: 1141 addi sp,sp,-16
40002d40: 852e mv a0,a1
40002d42: 2787a783 lw a5,632(a5)
40002d46: 85b2 mv a1,a2
40002d48: 4705 li a4,1
40002d4a: 4681 li a3,0
40002d4c: c606 sw ra,12(sp)
40002d4e: 4601 li a2,0
40002d50: 9782 jalr a5
40002d52: 3fce07b7 lui a5,0x3fce0
40002d56: 7d000713 li a4,2000
40002d5a: 9f878793 addi a5,a5,-1544 # 3fcdf9f8 <dbg_assert_block>
40002d5e: 4685 li a3,1
40002d60: c394 sw a3,0(a5)
40002d62: 177d addi a4,a4,-1
40002d64: ff75 bnez a4,40002d60 <r_assert_err+0x2a>
40002d66: 4398 lw a4,0(a5)
40002d68: ff7d bnez a4,40002d66 <r_assert_err+0x30>
40002d6a: 40b2 lw ra,12(sp)
40002d6c: 0141 addi sp,sp,16
40002d6e: 8082 ret
40002d70 <r_assert_param>:
40002d70: 3fce07b7 lui a5,0x3fce0
40002d74: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40002d78: 8832 mv a6,a2
40002d7a: 88b6 mv a7,a3
40002d7c: 2787a783 lw a5,632(a5)
40002d80: 1141 addi sp,sp,-16
40002d82: 86ae mv a3,a1
40002d84: 4705 li a4,1
40002d86: 862a mv a2,a0
40002d88: c606 sw ra,12(sp)
40002d8a: 85c6 mv a1,a7
40002d8c: 8542 mv a0,a6
40002d8e: 9782 jalr a5
40002d90: 3fce07b7 lui a5,0x3fce0
40002d94: 7d000713 li a4,2000
40002d98: 9f878793 addi a5,a5,-1544 # 3fcdf9f8 <dbg_assert_block>
40002d9c: 4685 li a3,1
40002d9e: c394 sw a3,0(a5)
40002da0: 177d addi a4,a4,-1
40002da2: ff75 bnez a4,40002d9e <r_assert_param+0x2e>
40002da4: 4398 lw a4,0(a5)
40002da6: ff7d bnez a4,40002da4 <r_assert_param+0x34>
40002da8: 40b2 lw ra,12(sp)
40002daa: 0141 addi sp,sp,16
40002dac: 8082 ret
40002dae <r_assert_warn>:
40002dae: 3fce0737 lui a4,0x3fce0
40002db2: f8872703 lw a4,-120(a4) # 3fcdff88 <r_modules_funcs_p>
40002db6: 87b2 mv a5,a2
40002db8: 8836 mv a6,a3
40002dba: 27872303 lw t1,632(a4)
40002dbe: 86ae mv a3,a1
40002dc0: 862a mv a2,a0
40002dc2: 4701 li a4,0
40002dc4: 85c2 mv a1,a6
40002dc6: 853e mv a0,a5
40002dc8: 8302 jr t1
40002dca <r_dump_data>:
40002dca: 1000d7b7 lui a5,0x1000d
40002dce: 43d8 lw a4,4(a5)
40002dd0: 76c1 lui a3,0xffff0
40002dd2: 8f75 and a4,a4,a3
40002dd4: 8dd9 or a1,a1,a4
40002dd6: c3cc sw a1,4(a5)
40002dd8: 4398 lw a4,0(a5)
40002dda: 00476713 ori a4,a4,4
40002dde: c398 sw a4,0(a5)
40002de0: c7c8 sw a0,12(a5)
40002de2: 4398 lw a4,0(a5)
40002de4: 00276713 ori a4,a4,2
40002de8: c398 sw a4,0(a5)
40002dea: 8082 ret
40002dec <r_get_stack_usage>:
40002dec: 8082 ret
40002dee <r_platform_reset>:
40002dee: 3fce07b7 lui a5,0x3fce0
40002df2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40002df6: 1141 addi sp,sp,-16
40002df8: c422 sw s0,8(sp)
40002dfa: 5f9c lw a5,56(a5)
40002dfc: c606 sw ra,12(sp)
40002dfe: 842a mv s0,a0
40002e00: 9782 jalr a5
40002e02: 01754783 lbu a5,23(a0)
40002e06: e799 bnez a5,40002e14 <r_platform_reset+0x26>
40002e08: 3fce07b7 lui a5,0x3fce0
40002e0c: f947a783 lw a5,-108(a5) # 3fcdff94 <r_h4tl_eif_p>
40002e10: 4bdc lw a5,20(a5)
40002e12: 9782 jalr a5
40002e14: 3fce07b7 lui a5,0x3fce0
40002e18: a2c7a783 lw a5,-1492(a5) # 3fcdfa2c <unloaded_area>
40002e1c: c380 sw s0,0(a5)
40002e1e: c3c3c7b7 lui a5,0xc3c3c
40002e22: 3c378793 addi a5,a5,963 # c3c3c3c3 <SPIMEM0+0x63c393c3>
40002e26: 00f40d63 beq s0,a5,40002e40 <r_platform_reset+0x52>
40002e2a: a5a5a7b7 lui a5,0xa5a5a
40002e2e: 5a578793 addi a5,a5,1445 # a5a5a5a5 <SPIMEM0+0x45a575a5>
40002e32: 00f40763 beq s0,a5,40002e40 <r_platform_reset+0x52>
40002e36: 4422 lw s0,8(sp)
40002e38: 40b2 lw ra,12(sp)
40002e3a: 4301 li t1,0
40002e3c: 0141 addi sp,sp,16
40002e3e: 8302 jr t1
40002e40: 40b2 lw ra,12(sp)
40002e42: 4422 lw s0,8(sp)
40002e44: 0141 addi sp,sp,16
40002e46: 8082 ret
40002e48 <r_rwip_eif_get>:
40002e48: e505 bnez a0,40002e70 <r_rwip_eif_get+0x28>
40002e4a: 3fce07b7 lui a5,0x3fce0
40002e4e: f947a703 lw a4,-108(a5) # 3fcdff94 <r_h4tl_eif_p>
40002e52: 3fce0537 lui a0,0x3fce0
40002e56: ee450793 addi a5,a0,-284 # 3fcdfee4 <g_eif_api>
40002e5a: 4f14 lw a3,24(a4)
40002e5c: ee450513 addi a0,a0,-284
40002e60: c394 sw a3,0(a5)
40002e62: 4f54 lw a3,28(a4)
40002e64: c3d4 sw a3,4(a5)
40002e66: 5314 lw a3,32(a4)
40002e68: 5358 lw a4,36(a4)
40002e6a: c7d4 sw a3,12(a5)
40002e6c: c798 sw a4,8(a5)
40002e6e: 8082 ret
40002e70: 3fce07b7 lui a5,0x3fce0
40002e74: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40002e78: 1141 addi sp,sp,-16
40002e7a: 3ff1a637 lui a2,0x3ff1a
40002e7e: 47dc lw a5,12(a5)
40002e80: c606 sw ra,12(sp)
40002e82: 11d00693 li a3,285
40002e86: 40860613 addi a2,a2,1032 # 3ff1a408 <CSWTCH.72+0xdc>
40002e8a: 4581 li a1,0
40002e8c: 9782 jalr a5
40002e8e: 40b2 lw ra,12(sp)
40002e90: 4501 li a0,0
40002e92: 0141 addi sp,sp,16
40002e94: 8082 ret
40002e96 <btdm_controller_get_compile_version_impl>:
40002e96: 3ff1a537 lui a0,0x3ff1a
40002e9a: 41450513 addi a0,a0,1044 # 3ff1a414 <CSWTCH.72+0xe8>
40002e9e: 8082 ret
40002ea0 <r_ble_util_buf_env_init>:
40002ea0: 3fce07b7 lui a5,0x3fce0
40002ea4: f847a783 lw a5,-124(a5) # 3fcdff84 <r_osi_funcs_p>
40002ea8: 1141 addi sp,sp,-16
40002eaa: c606 sw ra,12(sp)
40002eac: 5fbc lw a5,120(a5)
40002eae: 1bc00513 li a0,444
40002eb2: 9782 jalr a5
40002eb4: 40b2 lw ra,12(sp)
40002eb6: 3fce07b7 lui a5,0x3fce0
40002eba: faa7a023 sw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40002ebe: 00a03533 snez a0,a0
40002ec2: 0141 addi sp,sp,16
40002ec4: 8082 ret
40002ec6 <r_ble_util_buf_env_deinit>:
40002ec6: 3fce07b7 lui a5,0x3fce0
40002eca: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40002ece: 1141 addi sp,sp,-16
40002ed0: c422 sw s0,8(sp)
40002ed2: c606 sw ra,12(sp)
40002ed4: fa078413 addi s0,a5,-96
40002ed8: c519 beqz a0,40002ee6 <r_ble_util_buf_env_deinit+0x20>
40002eda: 3fce07b7 lui a5,0x3fce0
40002ede: f847a783 lw a5,-124(a5) # 3fcdff84 <r_osi_funcs_p>
40002ee2: 5ffc lw a5,124(a5)
40002ee4: 9782 jalr a5
40002ee6: 00042023 sw zero,0(s0)
40002eea: 40b2 lw ra,12(sp)
40002eec: 4422 lw s0,8(sp)
40002eee: 0141 addi sp,sp,16
40002ef0: 8082 ret
40002ef2 <r_ble_util_buf_get_rx_buf_nb>:
40002ef2: 3fce07b7 lui a5,0x3fce0
40002ef6: fa07a783 lw a5,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40002efa: 1ba7c503 lbu a0,442(a5)
40002efe: 8082 ret
40002f00 <r_ble_util_buf_get_rx_buf_size>:
40002f00: 3fce07b7 lui a5,0x3fce0
40002f04: fa07a783 lw a5,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40002f08: 1b87d503 lhu a0,440(a5)
40002f0c: 8082 ret
40002f0e <r_ble_util_buf_set_rx_buf_nb>:
40002f0e: 1141 addi sp,sp,-16
40002f10: c422 sw s0,8(sp)
40002f12: c606 sw ra,12(sp)
40002f14: 47a5 li a5,9
40002f16: 842a mv s0,a0
40002f18: 00a7ff63 bgeu a5,a0,40002f36 <r_ble_util_buf_set_rx_buf_nb+0x28>
40002f1c: 3fce07b7 lui a5,0x3fce0
40002f20: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40002f24: 3ff1a5b7 lui a1,0x3ff1a
40002f28: 06300613 li a2,99
40002f2c: 479c lw a5,8(a5)
40002f2e: 41c58593 addi a1,a1,1052 # 3ff1a41c <CSWTCH.72+0xf0>
40002f32: 4501 li a0,0
40002f34: 9782 jalr a5
40002f36: 3fce07b7 lui a5,0x3fce0
40002f3a: fa07a783 lw a5,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40002f3e: 1a878d23 sb s0,442(a5)
40002f42: 40b2 lw ra,12(sp)
40002f44: 4422 lw s0,8(sp)
40002f46: 0141 addi sp,sp,16
40002f48: 8082 ret
40002f4a <r_ble_util_buf_set_rx_buf_size>:
40002f4a: 1141 addi sp,sp,-16
40002f4c: c422 sw s0,8(sp)
40002f4e: c606 sw ra,12(sp)
40002f50: 11000793 li a5,272
40002f54: 842a mv s0,a0
40002f56: 00a7ff63 bgeu a5,a0,40002f74 <r_ble_util_buf_set_rx_buf_size+0x2a>
40002f5a: 3fce07b7 lui a5,0x3fce0
40002f5e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40002f62: 3ff1a5b7 lui a1,0x3ff1a
40002f66: 06900613 li a2,105
40002f6a: 479c lw a5,8(a5)
40002f6c: 41c58593 addi a1,a1,1052 # 3ff1a41c <CSWTCH.72+0xf0>
40002f70: 4501 li a0,0
40002f72: 9782 jalr a5
40002f74: 3fce07b7 lui a5,0x3fce0
40002f78: fa07a783 lw a5,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40002f7c: 40b2 lw ra,12(sp)
40002f7e: 1a879c23 sh s0,440(a5)
40002f82: 4422 lw s0,8(sp)
40002f84: 0141 addi sp,sp,16
40002f86: 8082 ret
40002f88 <r_ble_util_buf_llcp_tx_alloc>:
40002f88: 1101 addi sp,sp,-32
40002f8a: cc22 sw s0,24(sp)
40002f8c: 3fce0437 lui s0,0x3fce0
40002f90: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
40002f94: ce06 sw ra,28(sp)
40002f96: 4bdc lw a5,20(a5)
40002f98: 9782 jalr a5
40002f9a: 3fce07b7 lui a5,0x3fce0
40002f9e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40002fa2: 3fce0737 lui a4,0x3fce0
40002fa6: fa072503 lw a0,-96(a4) # 3fcdffa0 <p_ble_util_buf_env>
40002faa: 43bc lw a5,64(a5)
40002fac: 9782 jalr a5
40002fae: f8442783 lw a5,-124(s0)
40002fb2: c62a sw a0,12(sp)
40002fb4: 4f9c lw a5,24(a5)
40002fb6: 9782 jalr a5
40002fb8: 40f2 lw ra,28(sp)
40002fba: 4462 lw s0,24(sp)
40002fbc: 4532 lw a0,12(sp)
40002fbe: 6105 addi sp,sp,32
40002fc0: 8082 ret
40002fc2 <r_ble_util_buf_llcp_tx_free>:
40002fc2: 77f9 lui a5,0xffffe
40002fc4: 40078793 addi a5,a5,1024 # ffffe400 <SPIMEM0+0x9fffb400>
40002fc8: 476d li a4,27
40002fca: 97aa add a5,a5,a0
40002fcc: 02e7d7b3 divu a5,a5,a4
40002fd0: 1141 addi sp,sp,-16
40002fd2: c422 sw s0,8(sp)
40002fd4: c606 sw ra,12(sp)
40002fd6: c226 sw s1,4(sp)
40002fd8: 474d li a4,19
40002fda: 0ff7f413 andi s0,a5,255
40002fde: 0ff7f793 andi a5,a5,255
40002fe2: 02f77063 bgeu a4,a5,40003002 <r_ble_util_buf_llcp_tx_free+0x40>
40002fe6: 3fce07b7 lui a5,0x3fce0
40002fea: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40002fee: 3ff1a637 lui a2,0x3ff1a
40002ff2: 85aa mv a1,a0
40002ff4: 47dc lw a5,12(a5)
40002ff6: 08000693 li a3,128
40002ffa: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
40002ffe: 8522 mv a0,s0
40003000: 9782 jalr a5
40003002: 3fce04b7 lui s1,0x3fce0
40003006: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
4000300a: 4bdc lw a5,20(a5)
4000300c: 9782 jalr a5
4000300e: 3fce07b7 lui a5,0x3fce0
40003012: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40003016: 3fce07b7 lui a5,0x3fce0
4000301a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000301e: 00440593 addi a1,s0,4
40003022: 058e slli a1,a1,0x3
40003024: 43fc lw a5,68(a5)
40003026: 95aa add a1,a1,a0
40003028: 9782 jalr a5
4000302a: f844a783 lw a5,-124(s1)
4000302e: 4422 lw s0,8(sp)
40003030: 40b2 lw ra,12(sp)
40003032: 4492 lw s1,4(sp)
40003034: 0187a303 lw t1,24(a5)
40003038: 0141 addi sp,sp,16
4000303a: 8302 jr t1
4000303c <r_ble_util_data_rx_buf_reset>:
4000303c: 1101 addi sp,sp,-32
4000303e: ca26 sw s1,20(sp)
40003040: 3fce04b7 lui s1,0x3fce0
40003044: fa04a783 lw a5,-96(s1) # 3fcdffa0 <p_ble_util_buf_env>
40003048: c84a sw s2,16(sp)
4000304a: c64e sw s3,12(sp)
4000304c: c452 sw s4,8(sp)
4000304e: c256 sw s5,4(sp)
40003050: ce06 sw ra,28(sp)
40003052: cc22 sw s0,24(sp)
40003054: 1ba7c403 lbu s0,442(a5)
40003058: 6921 lui s2,0x8
4000305a: fa048493 addi s1,s1,-96
4000305e: 147d addi s0,s0,-1
40003060: 59fd li s3,-1
40003062: 80590913 addi s2,s2,-2043 # 7805 <RvExcFrameSize+0x7775>
40003066: 3fce0ab7 lui s5,0x3fce0
4000306a: 3ff1aa37 lui s4,0x3ff1a
4000306e: 4088 lw a0,0(s1)
40003070: 03341763 bne s0,s3,4000309e <r_ble_util_data_rx_buf_reset+0x62>
40003074: 3fce07b7 lui a5,0x3fce0
40003078: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000307c: 4462 lw s0,24(sp)
4000307e: 1ba54683 lbu a3,442(a0)
40003082: 40f2 lw ra,28(sp)
40003084: 44d2 lw s1,20(sp)
40003086: 4942 lw s2,16(sp)
40003088: 49b2 lw s3,12(sp)
4000308a: 4a22 lw s4,8(sp)
4000308c: 4a92 lw s5,4(sp)
4000308e: 03c7a303 lw t1,60(a5)
40003092: 0c050593 addi a1,a0,192
40003096: 4621 li a2,8
40003098: 0521 addi a0,a0,8
4000309a: 6105 addi sp,sp,32
4000309c: 8302 jr t1
4000309e: 00a41793 slli a5,s0,0xa
400030a2: 97ca add a5,a5,s2
400030a4: 01840713 addi a4,s0,24
400030a8: 07c2 slli a5,a5,0x10
400030aa: 070e slli a4,a4,0x3
400030ac: 972a add a4,a4,a0
400030ae: 83c1 srli a5,a5,0x10
400030b0: 00f71223 sh a5,4(a4)
400030b4: f80aa703 lw a4,-128(s5) # 3fcdff80 <r_plf_funcs_p>
400030b8: 1b855583 lhu a1,440(a0)
400030bc: ffb78513 addi a0,a5,-5
400030c0: 0c472703 lw a4,196(a4)
400030c4: 05b5 addi a1,a1,13
400030c6: 9702 jalr a4
400030c8: c911 beqz a0,400030dc <r_ble_util_data_rx_buf_reset+0xa0>
400030ca: f80aa783 lw a5,-128(s5)
400030ce: 09200613 li a2,146
400030d2: 41ca0593 addi a1,s4,1052 # 3ff1a41c <CSWTCH.72+0xf0>
400030d6: 479c lw a5,8(a5)
400030d8: 4501 li a0,0
400030da: 9782 jalr a5
400030dc: 147d addi s0,s0,-1
400030de: bf41 j 4000306e <r_ble_util_data_rx_buf_reset+0x32>
400030e0 <r_ble_util_buf_rx_alloc>:
400030e0: 1101 addi sp,sp,-32
400030e2: cc22 sw s0,24(sp)
400030e4: 3fce0437 lui s0,0x3fce0
400030e8: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
400030ec: ce06 sw ra,28(sp)
400030ee: ca26 sw s1,20(sp)
400030f0: 4bdc lw a5,20(a5)
400030f2: 9782 jalr a5
400030f4: 3fce07b7 lui a5,0x3fce0
400030f8: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
400030fc: 3fce07b7 lui a5,0x3fce0
40003100: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40003104: 0521 addi a0,a0,8
40003106: 43bc lw a5,64(a5)
40003108: 9782 jalr a5
4000310a: f8442783 lw a5,-124(s0)
4000310e: c62a sw a0,12(sp)
40003110: 4401 li s0,0
40003112: 4f9c lw a5,24(a5)
40003114: 9782 jalr a5
40003116: 4532 lw a0,12(sp)
40003118: cd05 beqz a0,40003150 <r_ble_util_buf_rx_alloc+0x70>
4000311a: 3fce04b7 lui s1,0x3fce0
4000311e: f804a783 lw a5,-128(s1) # 3fcdff80 <r_plf_funcs_p>
40003122: 00455403 lhu s0,4(a0)
40003126: 0bc7a783 lw a5,188(a5)
4000312a: 8522 mv a0,s0
4000312c: 9782 jalr a5
4000312e: e10d bnez a0,40003150 <r_ble_util_buf_rx_alloc+0x70>
40003130: f804a783 lw a5,-128(s1)
40003134: 8522 mv a0,s0
40003136: 47c4 lw s1,12(a5)
40003138: 0bc7a783 lw a5,188(a5)
4000313c: 9782 jalr a5
4000313e: 3ff1a637 lui a2,0x3ff1a
40003142: 85aa mv a1,a0
40003144: 0a800693 li a3,168
40003148: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
4000314c: 8522 mv a0,s0
4000314e: 9482 jalr s1
40003150: 8522 mv a0,s0
40003152: 40f2 lw ra,28(sp)
40003154: 4462 lw s0,24(sp)
40003156: 44d2 lw s1,20(sp)
40003158: 6105 addi sp,sp,32
4000315a: 8082 ret
4000315c <r_ble_util_buf_rx_free>:
4000315c: 1101 addi sp,sp,-32
4000315e: cc22 sw s0,24(sp)
40003160: 7461 lui s0,0xffff8
40003162: 7fb40413 addi s0,s0,2043 # ffff87fb <SPIMEM0+0x9fff57fb>
40003166: 942a add s0,s0,a0
40003168: 8029 srli s0,s0,0xa
4000316a: ca26 sw s1,20(sp)
4000316c: c84a sw s2,16(sp)
4000316e: c452 sw s4,8(sp)
40003170: ce06 sw ra,28(sp)
40003172: c64e sw s3,12(sp)
40003174: 0ff47713 andi a4,s0,255
40003178: 47a1 li a5,8
4000317a: 892a mv s2,a0
4000317c: 84ae mv s1,a1
4000317e: 3fce0a37 lui s4,0x3fce0
40003182: 00e7fe63 bgeu a5,a4,4000319e <r_ble_util_buf_rx_free+0x42>
40003186: f80a2783 lw a5,-128(s4) # 3fcdff80 <r_plf_funcs_p>
4000318a: 3ff1a637 lui a2,0x3ff1a
4000318e: 85aa mv a1,a0
40003190: 47dc lw a5,12(a5)
40003192: 0b400693 li a3,180
40003196: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
4000319a: 853a mv a0,a4
4000319c: 9782 jalr a5
4000319e: f80a2783 lw a5,-128(s4)
400031a2: 854a mv a0,s2
400031a4: 3fce09b7 lui s3,0x3fce0
400031a8: 0bc7a783 lw a5,188(a5)
400031ac: 9782 jalr a5
400031ae: 02950863 beq a0,s1,400031de <r_ble_util_buf_rx_free+0x82>
400031b2: e881 bnez s1,400031c2 <r_ble_util_buf_rx_free+0x66>
400031b4: f80a2783 lw a5,-128(s4)
400031b8: 854a mv a0,s2
400031ba: 0bc7a783 lw a5,188(a5)
400031be: 9782 jalr a5
400031c0: 84aa mv s1,a0
400031c2: f849a783 lw a5,-124(s3) # 3fcdff84 <r_osi_funcs_p>
400031c6: 4462 lw s0,24(sp)
400031c8: 40f2 lw ra,28(sp)
400031ca: 4942 lw s2,16(sp)
400031cc: 49b2 lw s3,12(sp)
400031ce: 4a22 lw s4,8(sp)
400031d0: 07c7a303 lw t1,124(a5)
400031d4: ffb48513 addi a0,s1,-5
400031d8: 44d2 lw s1,20(sp)
400031da: 6105 addi sp,sp,32
400031dc: 8302 jr t1
400031de: f849a783 lw a5,-124(s3)
400031e2: 4bdc lw a5,20(a5)
400031e4: 9782 jalr a5
400031e6: 3fce07b7 lui a5,0x3fce0
400031ea: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
400031ee: 3fce07b7 lui a5,0x3fce0
400031f2: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400031f6: 0ff47593 andi a1,s0,255
400031fa: 05e1 addi a1,a1,24
400031fc: 43fc lw a5,68(a5)
400031fe: 058e slli a1,a1,0x3
40003200: 95aa add a1,a1,a0
40003202: 0521 addi a0,a0,8
40003204: 9782 jalr a5
40003206: 3fce07b7 lui a5,0x3fce0
4000320a: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000320e: 4501 li a0,0
40003210: 2a47a783 lw a5,676(a5)
40003214: 9782 jalr a5
40003216: f849a783 lw a5,-124(s3)
4000321a: 4462 lw s0,24(sp)
4000321c: 40f2 lw ra,28(sp)
4000321e: 44d2 lw s1,20(sp)
40003220: 4942 lw s2,16(sp)
40003222: 49b2 lw s3,12(sp)
40003224: 4a22 lw s4,8(sp)
40003226: 0187a303 lw t1,24(a5)
4000322a: 6105 addi sp,sp,32
4000322c: 8302 jr t1
4000322e <r_ble_util_buf_rx_alloc_in_isr>:
4000322e: 1101 addi sp,sp,-32
40003230: cc22 sw s0,24(sp)
40003232: 3fce0437 lui s0,0x3fce0
40003236: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
4000323a: ce06 sw ra,28(sp)
4000323c: ca26 sw s1,20(sp)
4000323e: 4bdc lw a5,20(a5)
40003240: 9782 jalr a5
40003242: 3fce07b7 lui a5,0x3fce0
40003246: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
4000324a: 3fce07b7 lui a5,0x3fce0
4000324e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40003252: 0521 addi a0,a0,8
40003254: 43bc lw a5,64(a5)
40003256: 9782 jalr a5
40003258: f8442783 lw a5,-124(s0)
4000325c: c62a sw a0,12(sp)
4000325e: 4401 li s0,0
40003260: 4f9c lw a5,24(a5)
40003262: 9782 jalr a5
40003264: 4532 lw a0,12(sp)
40003266: cd05 beqz a0,4000329e <r_ble_util_buf_rx_alloc_in_isr+0x70>
40003268: 3fce04b7 lui s1,0x3fce0
4000326c: f804a783 lw a5,-128(s1) # 3fcdff80 <r_plf_funcs_p>
40003270: 00455403 lhu s0,4(a0)
40003274: 0bc7a783 lw a5,188(a5)
40003278: 8522 mv a0,s0
4000327a: 9782 jalr a5
4000327c: e10d bnez a0,4000329e <r_ble_util_buf_rx_alloc_in_isr+0x70>
4000327e: f804a783 lw a5,-128(s1)
40003282: 8522 mv a0,s0
40003284: 47c4 lw s1,12(a5)
40003286: 0bc7a783 lw a5,188(a5)
4000328a: 9782 jalr a5
4000328c: 3ff1a637 lui a2,0x3ff1a
40003290: 85aa mv a1,a0
40003292: 0d500693 li a3,213
40003296: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
4000329a: 8522 mv a0,s0
4000329c: 9482 jalr s1
4000329e: 8522 mv a0,s0
400032a0: 40f2 lw ra,28(sp)
400032a2: 4462 lw s0,24(sp)
400032a4: 44d2 lw s1,20(sp)
400032a6: 6105 addi sp,sp,32
400032a8: 8082 ret
400032aa <r_ble_util_buf_rx_free_in_isr>:
400032aa: 77e1 lui a5,0xffff8
400032ac: 7fb78793 addi a5,a5,2043 # ffff87fb <SPIMEM0+0x9fff57fb>
400032b0: 97aa add a5,a5,a0
400032b2: 1141 addi sp,sp,-16
400032b4: 83a9 srli a5,a5,0xa
400032b6: c422 sw s0,8(sp)
400032b8: c606 sw ra,12(sp)
400032ba: 0ff7f413 andi s0,a5,255
400032be: c226 sw s1,4(sp)
400032c0: 0ff7f793 andi a5,a5,255
400032c4: 4721 li a4,8
400032c6: 02f77063 bgeu a4,a5,400032e6 <r_ble_util_buf_rx_free_in_isr+0x3c>
400032ca: 3fce07b7 lui a5,0x3fce0
400032ce: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400032d2: 3ff1a637 lui a2,0x3ff1a
400032d6: 85aa mv a1,a0
400032d8: 47dc lw a5,12(a5)
400032da: 0e100693 li a3,225
400032de: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
400032e2: 8522 mv a0,s0
400032e4: 9782 jalr a5
400032e6: 3fce04b7 lui s1,0x3fce0
400032ea: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
400032ee: 4bdc lw a5,20(a5)
400032f0: 9782 jalr a5
400032f2: 3fce07b7 lui a5,0x3fce0
400032f6: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
400032fa: 3fce07b7 lui a5,0x3fce0
400032fe: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40003302: 01840593 addi a1,s0,24
40003306: 058e slli a1,a1,0x3
40003308: 43fc lw a5,68(a5)
4000330a: 95aa add a1,a1,a0
4000330c: 0521 addi a0,a0,8
4000330e: 9782 jalr a5
40003310: f844a783 lw a5,-124(s1)
40003314: 4422 lw s0,8(sp)
40003316: 40b2 lw ra,12(sp)
40003318: 4492 lw s1,4(sp)
4000331a: 0187a303 lw t1,24(a5)
4000331e: 0141 addi sp,sp,16
40003320: 8302 jr t1
40003322 <r_ble_util_buf_acl_tx_alloc>:
40003322: 10000793 li a5,256
40003326: 00a7d463 bge a5,a0,4000332e <r_ble_util_buf_acl_tx_alloc+0xc>
4000332a: 4501 li a0,0
4000332c: 8082 ret
4000332e: 1101 addi sp,sp,-32
40003330: ca26 sw s1,20(sp)
40003332: 3fce04b7 lui s1,0x3fce0
40003336: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
4000333a: ce06 sw ra,28(sp)
4000333c: cc22 sw s0,24(sp)
4000333e: 4bdc lw a5,20(a5)
40003340: c84a sw s2,16(sp)
40003342: c452 sw s4,8(sp)
40003344: c256 sw s5,4(sp)
40003346: c64e sw s3,12(sp)
40003348: 8a2a mv s4,a0
4000334a: 3fce0ab7 lui s5,0x3fce0
4000334e: 9782 jalr a5
40003350: f88aa783 lw a5,-120(s5) # 3fcdff88 <r_modules_funcs_p>
40003354: 3fce0437 lui s0,0x3fce0
40003358: fa042503 lw a0,-96(s0) # 3fcdffa0 <p_ble_util_buf_env>
4000335c: 43bc lw a5,64(a5)
4000335e: fa040413 addi s0,s0,-96
40003362: 0541 addi a0,a0,16
40003364: 9782 jalr a5
40003366: f844a783 lw a5,-124(s1)
4000336a: 892a mv s2,a0
4000336c: 4f9c lw a5,24(a5)
4000336e: 9782 jalr a5
40003370: 06090a63 beqz s2,400033e4 <r_ble_util_buf_acl_tx_alloc+0xc2>
40003374: 00495583 lhu a1,4(s2)
40003378: 77d9 lui a5,0xffff6
4000337a: 40078793 addi a5,a5,1024 # ffff6400 <SPIMEM0+0x9fff3400>
4000337e: 97ae add a5,a5,a1
40003380: 83a9 srli a5,a5,0xa
40003382: 0ff7f993 andi s3,a5,255
40003386: 472d li a4,11
40003388: 01377f63 bgeu a4,s3,400033a6 <r_ble_util_buf_acl_tx_alloc+0x84>
4000338c: 3fce0737 lui a4,0x3fce0
40003390: f8072703 lw a4,-128(a4) # 3fcdff80 <r_plf_funcs_p>
40003394: 3ff1a637 lui a2,0x3ff1a
40003398: 0ff00693 li a3,255
4000339c: 4758 lw a4,12(a4)
4000339e: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
400033a2: 854e mv a0,s3
400033a4: 9702 jalr a4
400033a6: 401c lw a5,0(s0)
400033a8: 1bb7c783 lbu a5,443(a5)
400033ac: 04f9e663 bltu s3,a5,400033f8 <r_ble_util_buf_acl_tx_alloc+0xd6>
400033b0: 3fce07b7 lui a5,0x3fce0
400033b4: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400033b8: 00495503 lhu a0,4(s2)
400033bc: 85d2 mv a1,s4
400033be: 09c7a783 lw a5,156(a5)
400033c2: 9782 jalr a5
400033c4: c915 beqz a0,400033f8 <r_ble_util_buf_acl_tx_alloc+0xd6>
400033c6: f844a783 lw a5,-124(s1)
400033ca: 4bdc lw a5,20(a5)
400033cc: 9782 jalr a5
400033ce: f88aa783 lw a5,-120(s5)
400033d2: 4008 lw a0,0(s0)
400033d4: 85ca mv a1,s2
400033d6: 43fc lw a5,68(a5)
400033d8: 0541 addi a0,a0,16
400033da: 9782 jalr a5
400033dc: f844a783 lw a5,-124(s1)
400033e0: 4f9c lw a5,24(a5)
400033e2: 9782 jalr a5
400033e4: 4501 li a0,0
400033e6: 40f2 lw ra,28(sp)
400033e8: 4462 lw s0,24(sp)
400033ea: 44d2 lw s1,20(sp)
400033ec: 4942 lw s2,16(sp)
400033ee: 49b2 lw s3,12(sp)
400033f0: 4a22 lw s4,8(sp)
400033f2: 4a92 lw s5,4(sp)
400033f4: 6105 addi sp,sp,32
400033f6: 8082 ret
400033f8: 00495503 lhu a0,4(s2)
400033fc: b7ed j 400033e6 <r_ble_util_buf_acl_tx_alloc+0xc4>
400033fe <r_ble_util_buf_acl_tx_elt_get>:
400033fe: 77d9 lui a5,0xffff6
40003400: 40078793 addi a5,a5,1024 # ffff6400 <SPIMEM0+0x9fff3400>
40003404: 97aa add a5,a5,a0
40003406: 1141 addi sp,sp,-16
40003408: 83a9 srli a5,a5,0xa
4000340a: c422 sw s0,8(sp)
4000340c: c606 sw ra,12(sp)
4000340e: 0ff7f413 andi s0,a5,255
40003412: 472d li a4,11
40003414: 0ff7f793 andi a5,a5,255
40003418: 02f77063 bgeu a4,a5,40003438 <r_ble_util_buf_acl_tx_elt_get+0x3a>
4000341c: 3fce07b7 lui a5,0x3fce0
40003420: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003424: 3ff1a637 lui a2,0x3ff1a
40003428: 85aa mv a1,a0
4000342a: 47dc lw a5,12(a5)
4000342c: 11700693 li a3,279
40003430: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
40003434: 8522 mv a0,s0
40003436: 9782 jalr a5
40003438: 3fce07b7 lui a5,0x3fce0
4000343c: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
40003440: 02140413 addi s0,s0,33
40003444: 040e slli s0,s0,0x3
40003446: 9522 add a0,a0,s0
40003448: 40b2 lw ra,12(sp)
4000344a: 4422 lw s0,8(sp)
4000344c: 0141 addi sp,sp,16
4000344e: 8082 ret
40003450 <r_ble_util_buf_acl_tx_free>:
40003450: 1101 addi sp,sp,-32
40003452: cc22 sw s0,24(sp)
40003454: 7459 lui s0,0xffff6
40003456: 40040413 addi s0,s0,1024 # ffff6400 <SPIMEM0+0x9fff3400>
4000345a: 942a add s0,s0,a0
4000345c: 8029 srli s0,s0,0xa
4000345e: c64e sw s3,12(sp)
40003460: c256 sw s5,4(sp)
40003462: ce06 sw ra,28(sp)
40003464: 0ff47a93 andi s5,s0,255
40003468: ca26 sw s1,20(sp)
4000346a: c84a sw s2,16(sp)
4000346c: c452 sw s4,8(sp)
4000346e: 47ad li a5,11
40003470: 89aa mv s3,a0
40003472: 0ff47413 andi s0,s0,255
40003476: 0357f063 bgeu a5,s5,40003496 <r_ble_util_buf_acl_tx_free+0x46>
4000347a: 3fce07b7 lui a5,0x3fce0
4000347e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003482: 3ff1a637 lui a2,0x3ff1a
40003486: 85aa mv a1,a0
40003488: 47dc lw a5,12(a5)
4000348a: 12300693 li a3,291
4000348e: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
40003492: 8522 mv a0,s0
40003494: 9782 jalr a5
40003496: 3fce04b7 lui s1,0x3fce0
4000349a: fa048493 addi s1,s1,-96 # 3fcdffa0 <p_ble_util_buf_env>
4000349e: 409c lw a5,0(s1)
400034a0: 02140413 addi s0,s0,33
400034a4: 040e slli s0,s0,0x3
400034a6: 1bb7c783 lbu a5,443(a5)
400034aa: 3fce0937 lui s2,0x3fce0
400034ae: 3fce0a37 lui s4,0x3fce0
400034b2: 02fafb63 bgeu s5,a5,400034e8 <r_ble_util_buf_acl_tx_free+0x98>
400034b6: f8492783 lw a5,-124(s2) # 3fcdff84 <r_osi_funcs_p>
400034ba: 4bdc lw a5,20(a5)
400034bc: 9782 jalr a5
400034be: f88a2783 lw a5,-120(s4) # 3fcdff88 <r_modules_funcs_p>
400034c2: 4088 lw a0,0(s1)
400034c4: 47fc lw a5,76(a5)
400034c6: 008505b3 add a1,a0,s0
400034ca: 0541 addi a0,a0,16
400034cc: 9782 jalr a5
400034ce: f8492783 lw a5,-124(s2)
400034d2: 4462 lw s0,24(sp)
400034d4: 40f2 lw ra,28(sp)
400034d6: 44d2 lw s1,20(sp)
400034d8: 4942 lw s2,16(sp)
400034da: 49b2 lw s3,12(sp)
400034dc: 4a22 lw s4,8(sp)
400034de: 4a92 lw s5,4(sp)
400034e0: 0187a303 lw t1,24(a5)
400034e4: 6105 addi sp,sp,32
400034e6: 8302 jr t1
400034e8: 3fce07b7 lui a5,0x3fce0
400034ec: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400034f0: 854e mv a0,s3
400034f2: 0b47a783 lw a5,180(a5)
400034f6: 9782 jalr a5
400034f8: f8492783 lw a5,-124(s2)
400034fc: 4bdc lw a5,20(a5)
400034fe: 9782 jalr a5
40003500: f88a2783 lw a5,-120(s4)
40003504: 4088 lw a0,0(s1)
40003506: 43fc lw a5,68(a5)
40003508: bf7d j 400034c6 <r_ble_util_buf_acl_tx_free+0x76>
4000350a <r_ble_util_buf_acl_tx_free_in_isr>:
4000350a: 1101 addi sp,sp,-32
4000350c: cc22 sw s0,24(sp)
4000350e: 7459 lui s0,0xffff6
40003510: 40040413 addi s0,s0,1024 # ffff6400 <SPIMEM0+0x9fff3400>
40003514: 942a add s0,s0,a0
40003516: 8029 srli s0,s0,0xa
40003518: c84a sw s2,16(sp)
4000351a: c64e sw s3,12(sp)
4000351c: ce06 sw ra,28(sp)
4000351e: ca26 sw s1,20(sp)
40003520: 0ff47993 andi s3,s0,255
40003524: 47ad li a5,11
40003526: 892a mv s2,a0
40003528: 0337f063 bgeu a5,s3,40003548 <r_ble_util_buf_acl_tx_free_in_isr+0x3e>
4000352c: 3fce07b7 lui a5,0x3fce0
40003530: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003534: 3ff1a637 lui a2,0x3ff1a
40003538: 85aa mv a1,a0
4000353a: 47dc lw a5,12(a5)
4000353c: 13b00693 li a3,315
40003540: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
40003544: 854e mv a0,s3
40003546: 9782 jalr a5
40003548: 3fce04b7 lui s1,0x3fce0
4000354c: fa048493 addi s1,s1,-96 # 3fcdffa0 <p_ble_util_buf_env>
40003550: 409c lw a5,0(s1)
40003552: 1bb7c783 lbu a5,443(a5)
40003556: 04f9f163 bgeu s3,a5,40003598 <r_ble_util_buf_acl_tx_free_in_isr+0x8e>
4000355a: 3fce0937 lui s2,0x3fce0
4000355e: f8492783 lw a5,-124(s2) # 3fcdff84 <r_osi_funcs_p>
40003562: 4bdc lw a5,20(a5)
40003564: 9782 jalr a5
40003566: 3fce07b7 lui a5,0x3fce0
4000356a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000356e: 4088 lw a0,0(s1)
40003570: 0ff47593 andi a1,s0,255
40003574: 47fc lw a5,76(a5)
40003576: 02158593 addi a1,a1,33
4000357a: 058e slli a1,a1,0x3
4000357c: 95aa add a1,a1,a0
4000357e: 0541 addi a0,a0,16
40003580: 9782 jalr a5
40003582: f8492783 lw a5,-124(s2)
40003586: 4462 lw s0,24(sp)
40003588: 40f2 lw ra,28(sp)
4000358a: 44d2 lw s1,20(sp)
4000358c: 4942 lw s2,16(sp)
4000358e: 49b2 lw s3,12(sp)
40003590: 0187a303 lw t1,24(a5)
40003594: 6105 addi sp,sp,32
40003596: 8302 jr t1
40003598: 3fce07b7 lui a5,0x3fce0
4000359c: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400035a0: 4462 lw s0,24(sp)
400035a2: 40f2 lw ra,28(sp)
400035a4: 44d2 lw s1,20(sp)
400035a6: 49b2 lw s3,12(sp)
400035a8: 7387a303 lw t1,1848(a5)
400035ac: 85ca mv a1,s2
400035ae: 4942 lw s2,16(sp)
400035b0: 4501 li a0,0
400035b2: 6105 addi sp,sp,32
400035b4: 8302 jr t1
400035b6 <r_ble_util_buf_adv_tx_alloc>:
400035b6: 67400793 li a5,1652
400035ba: 00a7d463 bge a5,a0,400035c2 <r_ble_util_buf_adv_tx_alloc+0xc>
400035be: 4501 li a0,0
400035c0: 8082 ret
400035c2: 7179 addi sp,sp,-48
400035c4: d226 sw s1,36(sp)
400035c6: 3fce04b7 lui s1,0x3fce0
400035ca: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
400035ce: d606 sw ra,44(sp)
400035d0: d422 sw s0,40(sp)
400035d2: 4bdc lw a5,20(a5)
400035d4: d04a sw s2,32(sp)
400035d6: ce4e sw s3,28(sp)
400035d8: c62a sw a0,12(sp)
400035da: 3fce09b7 lui s3,0x3fce0
400035de: 9782 jalr a5
400035e0: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
400035e4: 3fce0937 lui s2,0x3fce0
400035e8: fa090913 addi s2,s2,-96 # 3fcdffa0 <p_ble_util_buf_env>
400035ec: 00092503 lw a0,0(s2)
400035f0: 43bc lw a5,64(a5)
400035f2: 0561 addi a0,a0,24
400035f4: 9782 jalr a5
400035f6: f844a783 lw a5,-124(s1)
400035fa: 842a mv s0,a0
400035fc: 4f9c lw a5,24(a5)
400035fe: 9782 jalr a5
40003600: cc05 beqz s0,40003638 <r_ble_util_buf_adv_tx_alloc+0x82>
40003602: 3fce07b7 lui a5,0x3fce0
40003606: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000360a: 45b2 lw a1,12(sp)
4000360c: 00445503 lhu a0,4(s0)
40003610: 09c7a783 lw a5,156(a5)
40003614: 9782 jalr a5
40003616: c90d beqz a0,40003648 <r_ble_util_buf_adv_tx_alloc+0x92>
40003618: f844a783 lw a5,-124(s1)
4000361c: 4bdc lw a5,20(a5)
4000361e: 9782 jalr a5
40003620: f889a783 lw a5,-120(s3)
40003624: 00092503 lw a0,0(s2)
40003628: 85a2 mv a1,s0
4000362a: 43fc lw a5,68(a5)
4000362c: 0561 addi a0,a0,24
4000362e: 9782 jalr a5
40003630: f844a783 lw a5,-124(s1)
40003634: 4f9c lw a5,24(a5)
40003636: 9782 jalr a5
40003638: 4501 li a0,0
4000363a: 50b2 lw ra,44(sp)
4000363c: 5422 lw s0,40(sp)
4000363e: 5492 lw s1,36(sp)
40003640: 5902 lw s2,32(sp)
40003642: 49f2 lw s3,28(sp)
40003644: 6145 addi sp,sp,48
40003646: 8082 ret
40003648: 00445503 lhu a0,4(s0)
4000364c: b7fd j 4000363a <r_ble_util_buf_adv_tx_alloc+0x84>
4000364e <r_ble_util_buf_adv_tx_free>:
4000364e: 77f9 lui a5,0xffffe
40003650: c0078793 addi a5,a5,-1024 # ffffdc00 <SPIMEM0+0x9fffac00>
40003654: 97aa add a5,a5,a0
40003656: 1141 addi sp,sp,-16
40003658: 83ad srli a5,a5,0xb
4000365a: c422 sw s0,8(sp)
4000365c: c226 sw s1,4(sp)
4000365e: c04a sw s2,0(sp)
40003660: 0ff7f413 andi s0,a5,255
40003664: c606 sw ra,12(sp)
40003666: 0ff7f793 andi a5,a5,255
4000366a: 4725 li a4,9
4000366c: 84aa mv s1,a0
4000366e: 3fce0937 lui s2,0x3fce0
40003672: 00f77e63 bgeu a4,a5,4000368e <r_ble_util_buf_adv_tx_free+0x40>
40003676: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
4000367a: 3ff1a637 lui a2,0x3ff1a
4000367e: 85aa mv a1,a0
40003680: 47dc lw a5,12(a5)
40003682: 16800693 li a3,360
40003686: 41c60613 addi a2,a2,1052 # 3ff1a41c <CSWTCH.72+0xf0>
4000368a: 8522 mv a0,s0
4000368c: 9782 jalr a5
4000368e: f8092783 lw a5,-128(s2)
40003692: 8526 mv a0,s1
40003694: 3fce04b7 lui s1,0x3fce0
40003698: 0b47a783 lw a5,180(a5)
4000369c: 9782 jalr a5
4000369e: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
400036a2: 4bdc lw a5,20(a5)
400036a4: 9782 jalr a5
400036a6: 3fce07b7 lui a5,0x3fce0
400036aa: fa07a503 lw a0,-96(a5) # 3fcdffa0 <p_ble_util_buf_env>
400036ae: 3fce07b7 lui a5,0x3fce0
400036b2: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400036b6: 02d40593 addi a1,s0,45
400036ba: 058e slli a1,a1,0x3
400036bc: 43fc lw a5,68(a5)
400036be: 95aa add a1,a1,a0
400036c0: 0561 addi a0,a0,24
400036c2: 9782 jalr a5
400036c4: f844a783 lw a5,-124(s1)
400036c8: 4422 lw s0,8(sp)
400036ca: 40b2 lw ra,12(sp)
400036cc: 4492 lw s1,4(sp)
400036ce: 4902 lw s2,0(sp)
400036d0: 0187a303 lw t1,24(a5)
400036d4: 0141 addi sp,sp,16
400036d6: 8302 jr t1
400036d8 <r_ble_util_buf_adv_tx_free_in_isr>:
400036d8: 3fce07b7 lui a5,0x3fce0
400036dc: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400036e0: 85aa mv a1,a0
400036e2: 4505 li a0,1
400036e4: 7387a303 lw t1,1848(a5)
400036e8: 8302 jr t1
400036ea <r_rw_v9_init_em_radio_table>:
400036ea: 1141 addi sp,sp,-16
400036ec: c422 sw s0,8(sp)
400036ee: 3fce0437 lui s0,0x3fce0
400036f2: f8042783 lw a5,-128(s0) # 3fcdff80 <r_plf_funcs_p>
400036f6: c606 sw ra,12(sp)
400036f8: 4501 li a0,0
400036fa: 0bc7a783 lw a5,188(a5)
400036fe: 9782 jalr a5
40003700: 060407b7 lui a5,0x6040
40003704: 20078793 addi a5,a5,512 # 6040200 <RvExcFrameSize+0x6040170>
40003708: c11c sw a5,0(a0)
4000370a: f8042783 lw a5,-128(s0)
4000370e: 4501 li a0,0
40003710: 0bc7a783 lw a5,188(a5)
40003714: 9782 jalr a5
40003716: 0e0c17b7 lui a5,0xe0c1
4000371a: a0878793 addi a5,a5,-1528 # e0c0a08 <RvExcFrameSize+0xe0c0978>
4000371e: c15c sw a5,4(a0)
40003720: f8042783 lw a5,-128(s0)
40003724: 4501 li a0,0
40003726: 0bc7a783 lw a5,188(a5)
4000372a: 9782 jalr a5
4000372c: 161417b7 lui a5,0x16141
40003730: 21078793 addi a5,a5,528 # 16141210 <RvExcFrameSize+0x16141180>
40003734: c51c sw a5,8(a0)
40003736: f8042783 lw a5,-128(s0)
4000373a: 4501 li a0,0
4000373c: 0bc7a783 lw a5,188(a5)
40003740: 9782 jalr a5
40003742: 1e1c27b7 lui a5,0x1e1c2
40003746: a1878793 addi a5,a5,-1512 # 1e1c1a18 <RvExcFrameSize+0x1e1c1988>
4000374a: c55c sw a5,12(a0)
4000374c: f8042783 lw a5,-128(s0)
40003750: 4501 li a0,0
40003752: 0bc7a783 lw a5,188(a5)
40003756: 9782 jalr a5
40003758: 262427b7 lui a5,0x26242
4000375c: 22078793 addi a5,a5,544 # 26242220 <RvExcFrameSize+0x26242190>
40003760: c91c sw a5,16(a0)
40003762: f8042783 lw a5,-128(s0)
40003766: 4501 li a0,0
40003768: 0bc7a783 lw a5,188(a5)
4000376c: 9782 jalr a5
4000376e: 2e2c37b7 lui a5,0x2e2c3
40003772: a2878793 addi a5,a5,-1496 # 2e2c2a28 <RvExcFrameSize+0x2e2c2998>
40003776: c95c sw a5,20(a0)
40003778: f8042783 lw a5,-128(s0)
4000377c: 4501 li a0,0
4000377e: 0bc7a783 lw a5,188(a5)
40003782: 9782 jalr a5
40003784: 363437b7 lui a5,0x36343
40003788: 23078793 addi a5,a5,560 # 36343230 <RvExcFrameSize+0x363431a0>
4000378c: cd1c sw a5,24(a0)
4000378e: f8042783 lw a5,-128(s0)
40003792: 4501 li a0,0
40003794: 0bc7a783 lw a5,188(a5)
40003798: 9782 jalr a5
4000379a: 3e3c47b7 lui a5,0x3e3c4
4000379e: a3878793 addi a5,a5,-1480 # 3e3c3a38 <RvExcFrameSize+0x3e3c39a8>
400037a2: cd5c sw a5,28(a0)
400037a4: f8042783 lw a5,-128(s0)
400037a8: 4501 li a0,0
400037aa: 0bc7a783 lw a5,188(a5)
400037ae: 9782 jalr a5
400037b0: 464447b7 lui a5,0x46444
400037b4: 24078793 addi a5,a5,576 # 46444240 <_bss_table_end+0x63eacb0>
400037b8: d11c sw a5,32(a0)
400037ba: f8042783 lw a5,-128(s0)
400037be: 4501 li a0,0
400037c0: 0bc7a783 lw a5,188(a5)
400037c4: 9782 jalr a5
400037c6: 4e4c57b7 lui a5,0x4e4c5
400037ca: a4878793 addi a5,a5,-1464 # 4e4c4a48 <_bss_table_end+0xe46b4b8>
400037ce: d15c sw a5,36(a0)
400037d0: f8042783 lw a5,-128(s0)
400037d4: 4501 li a0,0
400037d6: 0bc7a783 lw a5,188(a5)
400037da: 9782 jalr a5
400037dc: 070507b7 lui a5,0x7050
400037e0: 30178793 addi a5,a5,769 # 7050301 <RvExcFrameSize+0x7050271>
400037e4: d51c sw a5,40(a0)
400037e6: f8042783 lw a5,-128(s0)
400037ea: 4501 li a0,0
400037ec: 0bc7a783 lw a5,188(a5)
400037f0: 9782 jalr a5
400037f2: 0f0d17b7 lui a5,0xf0d1
400037f6: b0978793 addi a5,a5,-1271 # f0d0b09 <RvExcFrameSize+0xf0d0a79>
400037fa: d55c sw a5,44(a0)
400037fc: f8042783 lw a5,-128(s0)
40003800: 4501 li a0,0
40003802: 0bc7a783 lw a5,188(a5)
40003806: 9782 jalr a5
40003808: 171517b7 lui a5,0x17151
4000380c: 31178793 addi a5,a5,785 # 17151311 <RvExcFrameSize+0x17151281>
40003810: d91c sw a5,48(a0)
40003812: f8042783 lw a5,-128(s0)
40003816: 4501 li a0,0
40003818: 0bc7a783 lw a5,188(a5)
4000381c: 9782 jalr a5
4000381e: 1f1d27b7 lui a5,0x1f1d2
40003822: b1978793 addi a5,a5,-1255 # 1f1d1b19 <RvExcFrameSize+0x1f1d1a89>
40003826: d95c sw a5,52(a0)
40003828: f8042783 lw a5,-128(s0)
4000382c: 4501 li a0,0
4000382e: 0bc7a783 lw a5,188(a5)
40003832: 9782 jalr a5
40003834: 272527b7 lui a5,0x27252
40003838: 32178793 addi a5,a5,801 # 27252321 <RvExcFrameSize+0x27252291>
4000383c: dd1c sw a5,56(a0)
4000383e: f8042783 lw a5,-128(s0)
40003842: 4501 li a0,0
40003844: 0bc7a783 lw a5,188(a5)
40003848: 9782 jalr a5
4000384a: 2f2d37b7 lui a5,0x2f2d3
4000384e: b2978793 addi a5,a5,-1239 # 2f2d2b29 <RvExcFrameSize+0x2f2d2a99>
40003852: dd5c sw a5,60(a0)
40003854: f8042783 lw a5,-128(s0)
40003858: 4501 li a0,0
4000385a: 0bc7a783 lw a5,188(a5)
4000385e: 9782 jalr a5
40003860: 373537b7 lui a5,0x37353
40003864: 33178793 addi a5,a5,817 # 37353331 <RvExcFrameSize+0x373532a1>
40003868: c13c sw a5,64(a0)
4000386a: f8042783 lw a5,-128(s0)
4000386e: 4501 li a0,0
40003870: 0bc7a783 lw a5,188(a5)
40003874: 9782 jalr a5
40003876: 3f3d47b7 lui a5,0x3f3d4
4000387a: b3978793 addi a5,a5,-1223 # 3f3d3b39 <RvExcFrameSize+0x3f3d3aa9>
4000387e: c17c sw a5,68(a0)
40003880: f8042783 lw a5,-128(s0)
40003884: 4501 li a0,0
40003886: 0bc7a783 lw a5,188(a5)
4000388a: 9782 jalr a5
4000388c: 474547b7 lui a5,0x47454
40003890: 34178793 addi a5,a5,833 # 47454341 <_bss_table_end+0x73fadb1>
40003894: c53c sw a5,72(a0)
40003896: f8042783 lw a5,-128(s0)
4000389a: 4501 li a0,0
4000389c: 0bc7a783 lw a5,188(a5)
400038a0: 9782 jalr a5
400038a2: 004d57b7 lui a5,0x4d5
400038a6: b4978793 addi a5,a5,-1207 # 4d4b49 <RvExcFrameSize+0x4d4ab9>
400038aa: c57c sw a5,76(a0)
400038ac: 60031737 lui a4,0x60031
400038b0: 5f3c lw a5,120(a4)
400038b2: 40b2 lw ra,12(sp)
400038b4: 4422 lw s0,8(sp)
400038b6: 76c1 lui a3,0xffff0
400038b8: 8ff5 and a5,a5,a3
400038ba: df3c sw a5,120(a4)
400038bc: 0141 addi sp,sp,16
400038be: 8082 ret
400038c0 <r_rf_rw_v9_le_enable>:
400038c0: 60031737 lui a4,0x60031
400038c4: 431c lw a5,0(a4)
400038c6: 1007e793 ori a5,a5,256
400038ca: c31c sw a5,0(a4)
400038cc: 8082 ret
400038ce <r_rf_rw_v9_le_disable>:
400038ce: 60031737 lui a4,0x60031
400038d2: 431c lw a5,0(a4)
400038d4: eff7f793 andi a5,a5,-257
400038d8: c31c sw a5,0(a4)
400038da: 8082 ret
400038dc <r_cali_phase_match_p>:
400038dc: 7179 addi sp,sp,-48
400038de: d04a sw s2,32(sp)
400038e0: 3fce0937 lui s2,0x3fce0
400038e4: 9f492703 lw a4,-1548(s2) # 3fcdf9f4 <g_bt_plf_log_level>
400038e8: d606 sw ra,44(sp)
400038ea: d422 sw s0,40(sp)
400038ec: d226 sw s1,36(sp)
400038ee: ce4e sw s3,28(sp)
400038f0: cc52 sw s4,24(sp)
400038f2: ca56 sw s5,20(sp)
400038f4: c85a sw s6,16(sp)
400038f6: 4789 li a5,2
400038f8: 00e7d863 bge a5,a4,40003908 <r_cali_phase_match_p+0x2c>
400038fc: 3ff1a537 lui a0,0x3ff1a
40003900: 42c50513 addi a0,a0,1068 # 3ff1a42c <CSWTCH.72+0x100>
40003904: 5d1440ef jal ra,400486d4 <ets_printf>
40003908: 60031437 lui s0,0x60031
4000390c: 0f842783 lw a5,248(s0) # 600310f8 <SPIMEM0+0x2e0f8>
40003910: 4505 li a0,1
40003912: 4a05 li s4,1
40003914: 9bf9 andi a5,a5,-2
40003916: 0ef42c23 sw a5,248(s0)
4000391a: 0f842783 lw a5,248(s0)
4000391e: 4989 li s3,2
40003920: 4a8d li s5,3
40003922: 8ff7f793 andi a5,a5,-1793
40003926: 0ef42c23 sw a5,248(s0)
4000392a: 0f842783 lw a5,248(s0)
4000392e: 600314b7 lui s1,0x60031
40003932: 6b05 lui s6,0x1
40003934: f8f7f793 andi a5,a5,-113
40003938: 0ef42c23 sw a5,248(s0)
4000393c: 0f842783 lw a5,248(s0)
40003940: 0017e793 ori a5,a5,1
40003944: 0ef42c23 sw a5,248(s0)
40003948: 185420ef jal ra,400462cc <ets_delay_us>
4000394c: 0f842783 lw a5,248(s0)
40003950: 6705 lui a4,0x1
40003952: 4401 li s0,0
40003954: 8ff9 and a5,a5,a4
40003956: 4581 li a1,0
40003958: efdd bnez a5,40003a16 <r_cali_phase_match_p+0x13a>
4000395a: e1ad bnez a1,400039bc <r_cali_phase_match_p+0xe0>
4000395c: c075 beqz s0,40003a40 <r_cali_phase_match_p+0x164>
4000395e: 0f440563 beq s0,s4,40003a48 <r_cali_phase_match_p+0x16c>
40003962: 0f340663 beq s0,s3,40003a4e <r_cali_phase_match_p+0x172>
40003966: 01541463 bne s0,s5,4000396e <r_cali_phase_match_p+0x92>
4000396a: 4401 li s0,0
4000396c: 4585 li a1,1
4000396e: 0f84a783 lw a5,248(s1) # 600310f8 <SPIMEM0+0x2e0f8>
40003972: 00859713 slli a4,a1,0x8
40003976: 4505 li a0,1
40003978: 9bf9 andi a5,a5,-2
4000397a: 0ef4ac23 sw a5,248(s1)
4000397e: 0f84a783 lw a5,248(s1)
40003982: c62e sw a1,12(sp)
40003984: 8ff7f793 andi a5,a5,-1793
40003988: 8fd9 or a5,a5,a4
4000398a: 0ef4ac23 sw a5,248(s1)
4000398e: 0f84a783 lw a5,248(s1)
40003992: 00441713 slli a4,s0,0x4
40003996: f8f7f793 andi a5,a5,-113
4000399a: 8fd9 or a5,a5,a4
4000399c: 0ef4ac23 sw a5,248(s1)
400039a0: 0f84a783 lw a5,248(s1)
400039a4: 0017e793 ori a5,a5,1
400039a8: 0ef4ac23 sw a5,248(s1)
400039ac: 121420ef jal ra,400462cc <ets_delay_us>
400039b0: 0f84a783 lw a5,248(s1)
400039b4: 45b2 lw a1,12(sp)
400039b6: 0167f7b3 and a5,a5,s6
400039ba: bf79 j 40003958 <r_cali_phase_match_p+0x7c>
400039bc: 01459c63 bne a1,s4,400039d4 <r_cali_phase_match_p+0xf8>
400039c0: c049 beqz s0,40003a42 <r_cali_phase_match_p+0x166>
400039c2: 08b40263 beq s0,a1,40003a46 <r_cali_phase_match_p+0x16a>
400039c6: 09340463 beq s0,s3,40003a4e <r_cali_phase_match_p+0x172>
400039ca: fb5412e3 bne s0,s5,4000396e <r_cali_phase_match_p+0x92>
400039ce: 4401 li s0,0
400039d0: 4589 li a1,2
400039d2: bf71 j 4000396e <r_cali_phase_match_p+0x92>
400039d4: 01359c63 bne a1,s3,400039ec <r_cali_phase_match_p+0x110>
400039d8: c42d beqz s0,40003a42 <r_cali_phase_match_p+0x166>
400039da: 07440763 beq s0,s4,40003a48 <r_cali_phase_match_p+0x16c>
400039de: 06b40763 beq s0,a1,40003a4c <r_cali_phase_match_p+0x170>
400039e2: f95416e3 bne s0,s5,4000396e <r_cali_phase_match_p+0x92>
400039e6: 458d li a1,3
400039e8: 4401 li s0,0
400039ea: b751 j 4000396e <r_cali_phase_match_p+0x92>
400039ec: f95591e3 bne a1,s5,4000396e <r_cali_phase_match_p+0x92>
400039f0: c829 beqz s0,40003a42 <r_cali_phase_match_p+0x166>
400039f2: 05440b63 beq s0,s4,40003a48 <r_cali_phase_match_p+0x16c>
400039f6: 05340c63 beq s0,s3,40003a4e <r_cali_phase_match_p+0x172>
400039fa: f6b41ae3 bne s0,a1,4000396e <r_cali_phase_match_p+0x92>
400039fe: 9f492783 lw a5,-1548(s2)
40003a02: 85a2 mv a1,s0
40003a04: 00f9d963 bge s3,a5,40003a16 <r_cali_phase_match_p+0x13a>
40003a08: 3ff1a537 lui a0,0x3ff1a
40003a0c: 44050513 addi a0,a0,1088 # 3ff1a440 <CSWTCH.72+0x114>
40003a10: 4c5440ef jal ra,400486d4 <ets_printf>
40003a14: 85a2 mv a1,s0
40003a16: 9f492703 lw a4,-1548(s2)
40003a1a: 4789 li a5,2
40003a1c: 02e7db63 bge a5,a4,40003a52 <r_cali_phase_match_p+0x176>
40003a20: 8622 mv a2,s0
40003a22: 5422 lw s0,40(sp)
40003a24: 50b2 lw ra,44(sp)
40003a26: 5492 lw s1,36(sp)
40003a28: 5902 lw s2,32(sp)
40003a2a: 49f2 lw s3,28(sp)
40003a2c: 4a62 lw s4,24(sp)
40003a2e: 4ad2 lw s5,20(sp)
40003a30: 4b42 lw s6,16(sp)
40003a32: 3ff1a537 lui a0,0x3ff1a
40003a36: 45c50513 addi a0,a0,1116 # 3ff1a45c <CSWTCH.72+0x130>
40003a3a: 6145 addi sp,sp,48
40003a3c: 4994406f j 400486d4 <ets_printf>
40003a40: 85a2 mv a1,s0
40003a42: 4405 li s0,1
40003a44: b72d j 4000396e <r_cali_phase_match_p+0x92>
40003a46: 4585 li a1,1
40003a48: 4409 li s0,2
40003a4a: b715 j 4000396e <r_cali_phase_match_p+0x92>
40003a4c: 4589 li a1,2
40003a4e: 440d li s0,3
40003a50: bf39 j 4000396e <r_cali_phase_match_p+0x92>
40003a52: 50b2 lw ra,44(sp)
40003a54: 5422 lw s0,40(sp)
40003a56: 5492 lw s1,36(sp)
40003a58: 5902 lw s2,32(sp)
40003a5a: 49f2 lw s3,28(sp)
40003a5c: 4a62 lw s4,24(sp)
40003a5e: 4ad2 lw s5,20(sp)
40003a60: 4b42 lw s6,16(sp)
40003a62: 6145 addi sp,sp,48
40003a64: 8082 ret
40003a66 <r_co_list_init>:
40003a66: 00052023 sw zero,0(a0)
40003a6a: 00052223 sw zero,4(a0)
40003a6e: 8082 ret
40003a70 <r_co_list_pool_init>:
40003a70: c10c sw a1,0(a0)
40003a72: 872e mv a4,a1
40003a74: 4781 li a5,0
40003a76: 16fd addi a3,a3,-1
40003a78: 00d7e963 bltu a5,a3,40003a8a <r_co_list_pool_init+0x1a>
40003a7c: 02c686b3 mul a3,a3,a2
40003a80: 95b6 add a1,a1,a3
40003a82: 0005a023 sw zero,0(a1)
40003a86: c14c sw a1,4(a0)
40003a88: 8082 ret
40003a8a: 00c70833 add a6,a4,a2
40003a8e: 01072023 sw a6,0(a4) # 1000 <RvExcFrameSize+0xf70>
40003a92: 0785 addi a5,a5,1
40003a94: 8742 mv a4,a6
40003a96: b7cd j 40003a78 <r_co_list_pool_init+0x8>
40003a98 <r_co_list_push_back>:
40003a98: 1141 addi sp,sp,-16
40003a9a: c422 sw s0,8(sp)
40003a9c: c226 sw s1,4(sp)
40003a9e: c606 sw ra,12(sp)
40003aa0: 84aa mv s1,a0
40003aa2: 842e mv s0,a1
40003aa4: ed91 bnez a1,40003ac0 <r_co_list_push_back+0x28>
40003aa6: 3fce07b7 lui a5,0x3fce0
40003aaa: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003aae: 3ff1a5b7 lui a1,0x3ff1a
40003ab2: 05600613 li a2,86
40003ab6: 479c lw a5,8(a5)
40003ab8: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003abc: 4501 li a0,0
40003abe: 9782 jalr a5
40003ac0: 409c lw a5,0(s1)
40003ac2: eb91 bnez a5,40003ad6 <r_co_list_push_back+0x3e>
40003ac4: c080 sw s0,0(s1)
40003ac6: c0c0 sw s0,4(s1)
40003ac8: 00042023 sw zero,0(s0)
40003acc: 40b2 lw ra,12(sp)
40003ace: 4422 lw s0,8(sp)
40003ad0: 4492 lw s1,4(sp)
40003ad2: 0141 addi sp,sp,16
40003ad4: 8082 ret
40003ad6: 40dc lw a5,4(s1)
40003ad8: c380 sw s0,0(a5)
40003ada: b7f5 j 40003ac6 <r_co_list_push_back+0x2e>
40003adc <r_co_list_push_back_sublist>:
40003adc: 1141 addi sp,sp,-16
40003ade: c422 sw s0,8(sp)
40003ae0: c226 sw s1,4(sp)
40003ae2: c04a sw s2,0(sp)
40003ae4: c606 sw ra,12(sp)
40003ae6: 842a mv s0,a0
40003ae8: 892e mv s2,a1
40003aea: 84b2 mv s1,a2
40003aec: ed91 bnez a1,40003b08 <r_co_list_push_back_sublist+0x2c>
40003aee: 3fce07b7 lui a5,0x3fce0
40003af2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003af6: 3ff1a5b7 lui a1,0x3ff1a
40003afa: 07400613 li a2,116
40003afe: 479c lw a5,8(a5)
40003b00: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003b04: 4501 li a0,0
40003b06: 9782 jalr a5
40003b08: ec91 bnez s1,40003b24 <r_co_list_push_back_sublist+0x48>
40003b0a: 3fce07b7 lui a5,0x3fce0
40003b0e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003b12: 3ff1a5b7 lui a1,0x3ff1a
40003b16: 07500613 li a2,117
40003b1a: 479c lw a5,8(a5)
40003b1c: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003b20: 4501 li a0,0
40003b22: 9782 jalr a5
40003b24: 401c lw a5,0(s0)
40003b26: ef81 bnez a5,40003b3e <r_co_list_push_back_sublist+0x62>
40003b28: 01242023 sw s2,0(s0)
40003b2c: c044 sw s1,4(s0)
40003b2e: 40b2 lw ra,12(sp)
40003b30: 4422 lw s0,8(sp)
40003b32: 0004a023 sw zero,0(s1)
40003b36: 4902 lw s2,0(sp)
40003b38: 4492 lw s1,4(sp)
40003b3a: 0141 addi sp,sp,16
40003b3c: 8082 ret
40003b3e: 405c lw a5,4(s0)
40003b40: 0127a023 sw s2,0(a5)
40003b44: b7e5 j 40003b2c <r_co_list_push_back_sublist+0x50>
40003b46 <r_co_list_push_front>:
40003b46: 1141 addi sp,sp,-16
40003b48: c422 sw s0,8(sp)
40003b4a: c226 sw s1,4(sp)
40003b4c: c606 sw ra,12(sp)
40003b4e: 84aa mv s1,a0
40003b50: 842e mv s0,a1
40003b52: ed91 bnez a1,40003b6e <r_co_list_push_front+0x28>
40003b54: 3fce07b7 lui a5,0x3fce0
40003b58: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003b5c: 3ff1a5b7 lui a1,0x3ff1a
40003b60: 09d00613 li a2,157
40003b64: 479c lw a5,8(a5)
40003b66: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003b6a: 4501 li a0,0
40003b6c: 9782 jalr a5
40003b6e: 409c lw a5,0(s1)
40003b70: e391 bnez a5,40003b74 <r_co_list_push_front+0x2e>
40003b72: c0c0 sw s0,4(s1)
40003b74: c01c sw a5,0(s0)
40003b76: c080 sw s0,0(s1)
40003b78: 40b2 lw ra,12(sp)
40003b7a: 4422 lw s0,8(sp)
40003b7c: 4492 lw s1,4(sp)
40003b7e: 0141 addi sp,sp,16
40003b80: 8082 ret
40003b82 <r_co_list_pop_front>:
40003b82: 411c lw a5,0(a0)
40003b84: c791 beqz a5,40003b90 <r_co_list_pop_front+0xe>
40003b86: 4398 lw a4,0(a5)
40003b88: c118 sw a4,0(a0)
40003b8a: e319 bnez a4,40003b90 <r_co_list_pop_front+0xe>
40003b8c: 00052223 sw zero,4(a0)
40003b90: 853e mv a0,a5
40003b92: 8082 ret
40003b94 <r_co_list_extract>:
40003b94: 1101 addi sp,sp,-32
40003b96: ca26 sw s1,20(sp)
40003b98: c64e sw s3,12(sp)
40003b9a: ce06 sw ra,28(sp)
40003b9c: cc22 sw s0,24(sp)
40003b9e: c84a sw s2,16(sp)
40003ba0: c452 sw s4,8(sp)
40003ba2: c256 sw s5,4(sp)
40003ba4: 84aa mv s1,a0
40003ba6: 89ae mv s3,a1
40003ba8: ed09 bnez a0,40003bc2 <r_co_list_extract+0x2e>
40003baa: 3fce07b7 lui a5,0x3fce0
40003bae: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003bb2: 3ff1a5b7 lui a1,0x3ff1a
40003bb6: 0d400613 li a2,212
40003bba: 479c lw a5,8(a5)
40003bbc: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003bc0: 9782 jalr a5
40003bc2: 4080 lw s0,0(s1)
40003bc4: 6941 lui s2,0x10
40003bc6: 4781 li a5,0
40003bc8: 197d addi s2,s2,-1
40003bca: 3fce0a37 lui s4,0x3fce0
40003bce: 3ff1aab7 lui s5,0x3ff1a
40003bd2: e819 bnez s0,40003be8 <r_co_list_extract+0x54>
40003bd4: 4501 li a0,0
40003bd6: 40f2 lw ra,28(sp)
40003bd8: 4462 lw s0,24(sp)
40003bda: 44d2 lw s1,20(sp)
40003bdc: 4942 lw s2,16(sp)
40003bde: 49b2 lw s3,12(sp)
40003be0: 4a22 lw s4,8(sp)
40003be2: 4a92 lw s5,4(sp)
40003be4: 6105 addi sp,sp,32
40003be6: 8082 ret
40003be8: 05340663 beq s0,s3,40003c34 <r_co_list_extract+0xa0>
40003bec: 4098 lw a4,0(s1)
40003bee: 401c lw a5,0(s0)
40003bf0: 00f71e63 bne a4,a5,40003c0c <r_co_list_extract+0x78>
40003bf4: f80a2783 lw a5,-128(s4) # 3fcdff80 <r_plf_funcs_p>
40003bf8: 0e500693 li a3,229
40003bfc: 468a8613 addi a2,s5,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003c00: 47dc lw a5,12(a5)
40003c02: 012475b3 and a1,s0,s2
40003c06: 41045513 srai a0,s0,0x10
40003c0a: 9782 jalr a5
40003c0c: 401c lw a5,0(s0)
40003c0e: 00879e63 bne a5,s0,40003c2a <r_co_list_extract+0x96>
40003c12: f80a2783 lw a5,-128(s4)
40003c16: 0e600693 li a3,230
40003c1a: 468a8613 addi a2,s5,1128
40003c1e: 47dc lw a5,12(a5)
40003c20: 012475b3 and a1,s0,s2
40003c24: 41045513 srai a0,s0,0x10
40003c28: 9782 jalr a5
40003c2a: 87a2 mv a5,s0
40003c2c: 4000 lw s0,0(s0)
40003c2e: b755 j 40003bd2 <r_co_list_extract+0x3e>
40003c30: c398 sw a4,0(a5)
40003c32: a029 j 40003c3c <r_co_list_extract+0xa8>
40003c34: 0009a703 lw a4,0(s3)
40003c38: ffe5 bnez a5,40003c30 <r_co_list_extract+0x9c>
40003c3a: c098 sw a4,0(s1)
40003c3c: 40d8 lw a4,4(s1)
40003c3e: 4505 li a0,1
40003c40: f9371be3 bne a4,s3,40003bd6 <r_co_list_extract+0x42>
40003c44: c0dc sw a5,4(s1)
40003c46: bf41 j 40003bd6 <r_co_list_extract+0x42>
40003c48 <r_co_list_extract_after>:
40003c48: 1141 addi sp,sp,-16
40003c4a: c422 sw s0,8(sp)
40003c4c: c226 sw s1,4(sp)
40003c4e: c04a sw s2,0(sp)
40003c50: c606 sw ra,12(sp)
40003c52: 842a mv s0,a0
40003c54: 892e mv s2,a1
40003c56: 84b2 mv s1,a2
40003c58: ed09 bnez a0,40003c72 <r_co_list_extract_after+0x2a>
40003c5a: 3fce07b7 lui a5,0x3fce0
40003c5e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003c62: 3ff1a5b7 lui a1,0x3ff1a
40003c66: 10f00613 li a2,271
40003c6a: 479c lw a5,8(a5)
40003c6c: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003c70: 9782 jalr a5
40003c72: ec91 bnez s1,40003c8e <r_co_list_extract_after+0x46>
40003c74: 3fce07b7 lui a5,0x3fce0
40003c78: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003c7c: 3ff1a5b7 lui a1,0x3ff1a
40003c80: 11000613 li a2,272
40003c84: 479c lw a5,8(a5)
40003c86: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003c8a: 4501 li a0,0
40003c8c: 9782 jalr a5
40003c8e: 04091063 bnez s2,40003cce <r_co_list_extract_after+0x86>
40003c92: 401c lw a5,0(s0)
40003c94: 00978f63 beq a5,s1,40003cb2 <r_co_list_extract_after+0x6a>
40003c98: 3fce07b7 lui a5,0x3fce0
40003c9c: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003ca0: 3ff1a5b7 lui a1,0x3ff1a
40003ca4: 11500613 li a2,277
40003ca8: 479c lw a5,8(a5)
40003caa: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003cae: 4501 li a0,0
40003cb0: 9782 jalr a5
40003cb2: 401c lw a5,0(s0)
40003cb4: 439c lw a5,0(a5)
40003cb6: c01c sw a5,0(s0)
40003cb8: 405c lw a5,4(s0)
40003cba: 00979463 bne a5,s1,40003cc2 <r_co_list_extract_after+0x7a>
40003cbe: 01242223 sw s2,4(s0)
40003cc2: 40b2 lw ra,12(sp)
40003cc4: 4422 lw s0,8(sp)
40003cc6: 4492 lw s1,4(sp)
40003cc8: 4902 lw s2,0(sp)
40003cca: 0141 addi sp,sp,16
40003ccc: 8082 ret
40003cce: 00092783 lw a5,0(s2) # 10000 <RvExcFrameSize+0xff70>
40003cd2: 00978f63 beq a5,s1,40003cf0 <r_co_list_extract_after+0xa8>
40003cd6: 3fce07b7 lui a5,0x3fce0
40003cda: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003cde: 3ff1a5b7 lui a1,0x3ff1a
40003ce2: 11c00613 li a2,284
40003ce6: 479c lw a5,8(a5)
40003ce8: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003cec: 4501 li a0,0
40003cee: 9782 jalr a5
40003cf0: 409c lw a5,0(s1)
40003cf2: 00f92023 sw a5,0(s2)
40003cf6: b7c9 j 40003cb8 <r_co_list_extract_after+0x70>
40003cf8 <r_co_list_extract_sublist>:
40003cf8: 1141 addi sp,sp,-16
40003cfa: c422 sw s0,8(sp)
40003cfc: c226 sw s1,4(sp)
40003cfe: c04a sw s2,0(sp)
40003d00: c606 sw ra,12(sp)
40003d02: 842a mv s0,a0
40003d04: 84ae mv s1,a1
40003d06: 8932 mv s2,a2
40003d08: ed09 bnez a0,40003d22 <r_co_list_extract_sublist+0x2a>
40003d0a: 3fce07b7 lui a5,0x3fce0
40003d0e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003d12: 3ff1a5b7 lui a1,0x3ff1a
40003d16: 13600613 li a2,310
40003d1a: 479c lw a5,8(a5)
40003d1c: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003d20: 9782 jalr a5
40003d22: 00091f63 bnez s2,40003d40 <r_co_list_extract_sublist+0x48>
40003d26: 3fce07b7 lui a5,0x3fce0
40003d2a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003d2e: 3ff1a5b7 lui a1,0x3ff1a
40003d32: 13700613 li a2,311
40003d36: 479c lw a5,8(a5)
40003d38: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003d3c: 4501 li a0,0
40003d3e: 9782 jalr a5
40003d40: 00092783 lw a5,0(s2)
40003d44: ec81 bnez s1,40003d5c <r_co_list_extract_sublist+0x64>
40003d46: c01c sw a5,0(s0)
40003d48: 405c lw a5,4(s0)
40003d4a: 01279363 bne a5,s2,40003d50 <r_co_list_extract_sublist+0x58>
40003d4e: c044 sw s1,4(s0)
40003d50: 40b2 lw ra,12(sp)
40003d52: 4422 lw s0,8(sp)
40003d54: 4492 lw s1,4(sp)
40003d56: 4902 lw s2,0(sp)
40003d58: 0141 addi sp,sp,16
40003d5a: 8082 ret
40003d5c: c09c sw a5,0(s1)
40003d5e: b7ed j 40003d48 <r_co_list_extract_sublist+0x50>
40003d60 <r_co_list_find>:
40003d60: 4108 lw a0,0(a0)
40003d62: 00b50363 beq a0,a1,40003d68 <r_co_list_find+0x8>
40003d66: fd6d bnez a0,40003d60 <r_co_list_find>
40003d68: 40a58533 sub a0,a1,a0
40003d6c: 00153513 seqz a0,a0
40003d70: 8082 ret
40003d72 <r_co_list_merge>:
40003d72: 419c lw a5,0(a1)
40003d74: 1141 addi sp,sp,-16
40003d76: c422 sw s0,8(sp)
40003d78: c226 sw s1,4(sp)
40003d7a: c606 sw ra,12(sp)
40003d7c: 842a mv s0,a0
40003d7e: 84ae mv s1,a1
40003d80: ef91 bnez a5,40003d9c <r_co_list_merge+0x2a>
40003d82: 3fce07b7 lui a5,0x3fce0
40003d86: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003d8a: 3ff1a5b7 lui a1,0x3ff1a
40003d8e: 16100613 li a2,353
40003d92: 479c lw a5,8(a5)
40003d94: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003d98: 4501 li a0,0
40003d9a: 9782 jalr a5
40003d9c: 4014 lw a3,0(s0)
40003d9e: 4098 lw a4,0(s1)
40003da0: 40dc lw a5,4(s1)
40003da2: ea91 bnez a3,40003db6 <r_co_list_merge+0x44>
40003da4: c018 sw a4,0(s0)
40003da6: c05c sw a5,4(s0)
40003da8: 40b2 lw ra,12(sp)
40003daa: 4422 lw s0,8(sp)
40003dac: 0004a023 sw zero,0(s1)
40003db0: 4492 lw s1,4(sp)
40003db2: 0141 addi sp,sp,16
40003db4: 8082 ret
40003db6: 4054 lw a3,4(s0)
40003db8: c298 sw a4,0(a3)
40003dba: b7f5 j 40003da6 <r_co_list_merge+0x34>
40003dbc <r_co_list_insert_before>:
40003dbc: 1141 addi sp,sp,-16
40003dbe: c422 sw s0,8(sp)
40003dc0: c226 sw s1,4(sp)
40003dc2: c04a sw s2,0(sp)
40003dc4: c606 sw ra,12(sp)
40003dc6: 84aa mv s1,a0
40003dc8: 892e mv s2,a1
40003dca: 8432 mv s0,a2
40003dcc: ee11 bnez a2,40003de8 <r_co_list_insert_before+0x2c>
40003dce: 3fce07b7 lui a5,0x3fce0
40003dd2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003dd6: 3ff1a5b7 lui a1,0x3ff1a
40003dda: 17f00613 li a2,383
40003dde: 479c lw a5,8(a5)
40003de0: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003de4: 4501 li a0,0
40003de6: 9782 jalr a5
40003de8: 02091063 bnez s2,40003e08 <r_co_list_insert_before+0x4c>
40003dec: 3fce07b7 lui a5,0x3fce0
40003df0: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40003df4: 85a2 mv a1,s0
40003df6: 4422 lw s0,8(sp)
40003df8: 40b2 lw ra,12(sp)
40003dfa: 4902 lw s2,0(sp)
40003dfc: 04c7a303 lw t1,76(a5)
40003e00: 8526 mv a0,s1
40003e02: 4492 lw s1,4(sp)
40003e04: 0141 addi sp,sp,16
40003e06: 8302 jr t1
40003e08: 409c lw a5,0(s1)
40003e0a: 4701 li a4,0
40003e0c: 01278363 beq a5,s2,40003e12 <r_co_list_insert_before+0x56>
40003e10: eb91 bnez a5,40003e24 <r_co_list_insert_before+0x68>
40003e12: df69 beqz a4,40003dec <r_co_list_insert_before+0x30>
40003e14: c300 sw s0,0(a4)
40003e16: c01c sw a5,0(s0)
40003e18: 40b2 lw ra,12(sp)
40003e1a: 4422 lw s0,8(sp)
40003e1c: 4492 lw s1,4(sp)
40003e1e: 4902 lw s2,0(sp)
40003e20: 0141 addi sp,sp,16
40003e22: 8082 ret
40003e24: 873e mv a4,a5
40003e26: 439c lw a5,0(a5)
40003e28: b7d5 j 40003e0c <r_co_list_insert_before+0x50>
40003e2a <r_co_list_insert_after>:
40003e2a: 1141 addi sp,sp,-16
40003e2c: c422 sw s0,8(sp)
40003e2e: c226 sw s1,4(sp)
40003e30: c04a sw s2,0(sp)
40003e32: c606 sw ra,12(sp)
40003e34: 84aa mv s1,a0
40003e36: 892e mv s2,a1
40003e38: 8432 mv s0,a2
40003e3a: ee11 bnez a2,40003e56 <r_co_list_insert_after+0x2c>
40003e3c: 3fce07b7 lui a5,0x3fce0
40003e40: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003e44: 3ff1a5b7 lui a1,0x3ff1a
40003e48: 1ac00613 li a2,428
40003e4c: 479c lw a5,8(a5)
40003e4e: 46858593 addi a1,a1,1128 # 3ff1a468 <CSWTCH.72+0x13c>
40003e52: 4501 li a0,0
40003e54: 9782 jalr a5
40003e56: 02091063 bnez s2,40003e76 <r_co_list_insert_after+0x4c>
40003e5a: 3fce07b7 lui a5,0x3fce0
40003e5e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40003e62: 85a2 mv a1,s0
40003e64: 4422 lw s0,8(sp)
40003e66: 40b2 lw ra,12(sp)
40003e68: 4902 lw s2,0(sp)
40003e6a: 0447a303 lw t1,68(a5)
40003e6e: 8526 mv a0,s1
40003e70: 4492 lw s1,4(sp)
40003e72: 0141 addi sp,sp,16
40003e74: 8302 jr t1
40003e76: 409c lw a5,0(s1)
40003e78: 01278563 beq a5,s2,40003e82 <r_co_list_insert_after+0x58>
40003e7c: dff9 beqz a5,40003e5a <r_co_list_insert_after+0x30>
40003e7e: 439c lw a5,0(a5)
40003e80: bfe5 j 40003e78 <r_co_list_insert_after+0x4e>
40003e82: 00092783 lw a5,0(s2)
40003e86: e391 bnez a5,40003e8a <r_co_list_insert_after+0x60>
40003e88: c0c0 sw s0,4(s1)
40003e8a: c01c sw a5,0(s0)
40003e8c: 00892023 sw s0,0(s2)
40003e90: 40b2 lw ra,12(sp)
40003e92: 4422 lw s0,8(sp)
40003e94: 4492 lw s1,4(sp)
40003e96: 4902 lw s2,0(sp)
40003e98: 0141 addi sp,sp,16
40003e9a: 8082 ret
40003e9c <r_co_list_size>:
40003e9c: 411c lw a5,0(a0)
40003e9e: 4501 li a0,0
40003ea0: e391 bnez a5,40003ea4 <r_co_list_size+0x8>
40003ea2: 8082 ret
40003ea4: 0505 addi a0,a0,1
40003ea6: 0542 slli a0,a0,0x10
40003ea8: 439c lw a5,0(a5)
40003eaa: 8141 srli a0,a0,0x10
40003eac: bfd5 j 40003ea0 <r_co_list_size+0x4>
40003eae <r_co_util_read_array_size>:
40003eae: 1141 addi sp,sp,-16
40003eb0: c422 sw s0,8(sp)
40003eb2: c606 sw ra,12(sp)
40003eb4: 842a mv s0,a0
40003eb6: ed09 bnez a0,40003ed0 <r_co_util_read_array_size+0x22>
40003eb8: 3fce07b7 lui a5,0x3fce0
40003ebc: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003ec0: 3ff1a5b7 lui a1,0x3ff1a
40003ec4: 09800613 li a2,152
40003ec8: 479c lw a5,8(a5)
40003eca: 47458593 addi a1,a1,1140 # 3ff1a474 <CSWTCH.72+0x148>
40003ece: 9782 jalr a5
40003ed0: 401c lw a5,0(s0)
40003ed2: 46a5 li a3,9
40003ed4: 4629 li a2,10
40003ed6: 00178713 addi a4,a5,1
40003eda: c018 sw a4,0(s0)
40003edc: 0007c503 lbu a0,0(a5)
40003ee0: fd050513 addi a0,a0,-48
40003ee4: 0542 slli a0,a0,0x10
40003ee6: 8141 srli a0,a0,0x10
40003ee8: 4018 lw a4,0(s0)
40003eea: 00074783 lbu a5,0(a4)
40003eee: fd078793 addi a5,a5,-48
40003ef2: 0ff7f793 andi a5,a5,255
40003ef6: 00f6f663 bgeu a3,a5,40003f02 <r_co_util_read_array_size+0x54>
40003efa: 40b2 lw ra,12(sp)
40003efc: 4422 lw s0,8(sp)
40003efe: 0141 addi sp,sp,16
40003f00: 8082 ret
40003f02: 02c50533 mul a0,a0,a2
40003f06: 00170793 addi a5,a4,1
40003f0a: c01c sw a5,0(s0)
40003f0c: 00074783 lbu a5,0(a4)
40003f10: fd078793 addi a5,a5,-48
40003f14: 953e add a0,a0,a5
40003f16: 0542 slli a0,a0,0x10
40003f18: 8141 srli a0,a0,0x10
40003f1a: b7f9 j 40003ee8 <r_co_util_read_array_size+0x3a>
40003f1c <r_co_bdaddr_compare>:
40003f1c: 4781 li a5,0
40003f1e: 4619 li a2,6
40003f20: 00f506b3 add a3,a0,a5
40003f24: 00f58733 add a4,a1,a5
40003f28: 0006c683 lbu a3,0(a3) # ffff0000 <SPIMEM0+0x9ffed000>
40003f2c: 00074703 lbu a4,0(a4)
40003f30: 00e69763 bne a3,a4,40003f3e <r_co_bdaddr_compare+0x22>
40003f34: 0785 addi a5,a5,1
40003f36: fec795e3 bne a5,a2,40003f20 <r_co_bdaddr_compare+0x4>
40003f3a: 4505 li a0,1
40003f3c: 8082 ret
40003f3e: 4501 li a0,0
40003f40: 8082 ret
40003f42 <r_co_nb_good_le_channels>:
40003f42: 3ff1f637 lui a2,0x3ff1f
40003f46: 4691 li a3,4
40003f48: 4701 li a4,0
40003f4a: eb460613 addi a2,a2,-332 # 3ff1eeb4 <one_bits>
40003f4e: 587d li a6,-1
40003f50: 00d507b3 add a5,a0,a3
40003f54: 0007c783 lbu a5,0(a5)
40003f58: 16fd addi a3,a3,-1
40003f5a: 00f7f593 andi a1,a5,15
40003f5e: 8391 srli a5,a5,0x4
40003f60: 95b2 add a1,a1,a2
40003f62: 97b2 add a5,a5,a2
40003f64: 0005c583 lbu a1,0(a1)
40003f68: 0007c783 lbu a5,0(a5)
40003f6c: 97ae add a5,a5,a1
40003f6e: 973e add a4,a4,a5
40003f70: 0ff77713 andi a4,a4,255
40003f74: fd069ee3 bne a3,a6,40003f50 <r_co_nb_good_le_channels+0xe>
40003f78: 853a mv a0,a4
40003f7a: 8082 ret
40003f7c <r_co_util_pack>:
40003f7c: 711d addi sp,sp,-96
40003f7e: caa6 sw s1,84(sp)
40003f80: c6ce sw s3,76(sp)
40003f82: c0da sw s6,64(sp)
40003f84: da66 sw s9,52(sp)
40003f86: ce86 sw ra,92(sp)
40003f88: cca2 sw s0,88(sp)
40003f8a: c8ca sw s2,80(sp)
40003f8c: c4d2 sw s4,72(sp)
40003f8e: c2d6 sw s5,68(sp)
40003f90: de5e sw s7,60(sp)
40003f92: dc62 sw s8,56(sp)
40003f94: d86a sw s10,48(sp)
40003f96: d66e sw s11,44(sp)
40003f98: c032 sw a2,0(sp)
40003f9a: ce3a sw a4,28(sp)
40003f9c: 89aa mv s3,a0
40003f9e: 8cae mv s9,a1
40003fa0: 00d584b3 add s1,a1,a3
40003fa4: 00a03b33 snez s6,a0
40003fa8: e191 bnez a1,40003fac <r_co_util_pack+0x30>
40003faa: 4b01 li s6,0
40003fac: ef11 bnez a4,40003fc8 <r_co_util_pack+0x4c>
40003fae: 3fce07b7 lui a5,0x3fce0
40003fb2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40003fb6: 3ff1a5b7 lui a1,0x3ff1a
40003fba: 0fd00613 li a2,253
40003fbe: 479c lw a5,8(a5)
40003fc0: 47458593 addi a1,a1,1140 # 3ff1a474 <CSWTCH.72+0x148>
40003fc4: 4501 li a0,0
40003fc6: 9782 jalr a5
40003fc8: 47f2 lw a5,28(sp)
40003fca: 03c00613 li a2,60
40003fce: 0007c703 lbu a4,0(a5)
40003fd2: 02c71463 bne a4,a2,40003ffa <r_co_util_pack+0x7e>
40003fd6: 0785 addi a5,a5,1
40003fd8: ce3e sw a5,28(sp)
40003fda: 4d85 li s11,1
40003fdc: 6941 lui s2,0x10
40003fde: 844e mv s0,s3
40003fe0: 4605 li a2,1
40003fe2: 4701 li a4,0
40003fe4: 4d01 li s10,0
40003fe6: 4ba5 li s7,9
40003fe8: 3fce0c37 lui s8,0x3fce0
40003fec: 04800a13 li s4,72
40003ff0: 04e00a93 li s5,78
40003ff4: f0090913 addi s2,s2,-256 # ff00 <RvExcFrameSize+0xfe70>
40003ff8: a8b9 j 40004056 <r_co_util_pack+0xda>
40003ffa: 03e00613 li a2,62
40003ffe: 4d85 li s11,1
40004000: fcc71ee3 bne a4,a2,40003fdc <r_co_util_pack+0x60>
40004004: 0785 addi a5,a5,1
40004006: ce3e sw a5,28(sp)
40004008: 4d81 li s11,0
4000400a: bfc9 j 40003fdc <r_co_util_pack+0x60>
4000400c: fd078793 addi a5,a5,-48
40004010: 0ff7f793 andi a5,a5,255
40004014: 00fbea63 bltu s7,a5,40004028 <r_co_util_pack+0xac>
40004018: f88c2783 lw a5,-120(s8) # 3fcdff88 <r_modules_funcs_p>
4000401c: 0868 addi a0,sp,28
4000401e: c23a sw a4,4(sp)
40004020: 4bdc lw a5,20(a5)
40004022: 9782 jalr a5
40004024: 4712 lw a4,4(sp)
40004026: 862a mv a2,a0
40004028: 47f2 lw a5,28(sp)
4000402a: 00178593 addi a1,a5,1
4000402e: ce2e sw a1,28(sp)
40004030: 0007c803 lbu a6,0(a5)
40004034: 15480763 beq a6,s4,40004182 <r_co_util_pack+0x206>
40004038: 050a6663 bltu s4,a6,40004084 <r_co_util_pack+0x108>
4000403c: 04400793 li a5,68
40004040: 14f80663 beq a6,a5,4000418c <r_co_util_pack+0x210>
40004044: 04700793 li a5,71
40004048: 08f80363 beq a6,a5,400040ce <r_co_util_pack+0x152>
4000404c: 04200793 li a5,66
40004050: 08f80063 beq a6,a5,400040d0 <r_co_util_pack+0x154>
40004054: 4d0d li s10,3
40004056: 47f2 lw a5,28(sp)
40004058: 0007c783 lbu a5,0(a5)
4000405c: 1c078663 beqz a5,40004228 <r_co_util_pack+0x2ac>
40004060: fa0d06e3 beqz s10,4000400c <r_co_util_pack+0x90>
40004064: 40f6 lw ra,92(sp)
40004066: 4466 lw s0,88(sp)
40004068: 856a mv a0,s10
4000406a: 44d6 lw s1,84(sp)
4000406c: 4946 lw s2,80(sp)
4000406e: 49b6 lw s3,76(sp)
40004070: 4a26 lw s4,72(sp)
40004072: 4a96 lw s5,68(sp)
40004074: 4b06 lw s6,64(sp)
40004076: 5bf2 lw s7,60(sp)
40004078: 5c62 lw s8,56(sp)
4000407a: 5cd2 lw s9,52(sp)
4000407c: 5d42 lw s10,48(sp)
4000407e: 5db2 lw s11,44(sp)
40004080: 6125 addi sp,sp,96
40004082: 8082 ret
40004084: 0f580f63 beq a6,s5,40004182 <r_co_util_pack+0x206>
40004088: 06e00793 li a5,110
4000408c: 04f80263 beq a6,a5,400040d0 <r_co_util_pack+0x154>
40004090: 04c00793 li a5,76
40004094: fcf810e3 bne a6,a5,40004054 <r_co_util_pack+0xd8>
40004098: 003c8793 addi a5,s9,3
4000409c: 9bf1 andi a5,a5,-4
4000409e: 00478813 addi a6,a5,4
400040a2: 020b0463 beqz s6,400040ca <r_co_util_pack+0x14e>
400040a6: 1704ef63 bltu s1,a6,40004224 <r_co_util_pack+0x2a8>
400040aa: 438c lw a1,0(a5)
400040ac: 0085d513 srli a0,a1,0x8
400040b0: 0185d893 srli a7,a1,0x18
400040b4: 120d8a63 beqz s11,400041e8 <r_co_util_pack+0x26c>
400040b8: 00b40023 sb a1,0(s0)
400040bc: 81c1 srli a1,a1,0x10
400040be: 00a400a3 sb a0,1(s0)
400040c2: 00b40123 sb a1,2(s0)
400040c6: 011401a3 sb a7,3(s0)
400040ca: 0411 addi s0,s0,4
400040cc: a0f5 j 400041b8 <r_co_util_pack+0x23c>
400040ce: 4705 li a4,1
400040d0: 88b2 mv a7,a2
400040d2: 00cc87b3 add a5,s9,a2
400040d6: 020b0163 beqz s6,400040f8 <r_co_util_pack+0x17c>
400040da: 14f4e563 bltu s1,a5,40004224 <r_co_util_pack+0x2a8>
400040de: c319 beqz a4,400040e4 <r_co_util_pack+0x168>
400040e0: 040d8263 beqz s11,40004124 <r_co_util_pack+0x1a8>
400040e4: 85e6 mv a1,s9
400040e6: 8522 mv a0,s0
400040e8: c646 sw a7,12(sp)
400040ea: c43e sw a5,8(sp)
400040ec: c242 sw a6,4(sp)
400040ee: 782540ef jal ra,40058870 <memmove>
400040f2: 4812 lw a6,4(sp)
400040f4: 47a2 lw a5,8(sp)
400040f6: 48b2 lw a7,12(sp)
400040f8: 06e00713 li a4,110
400040fc: 9446 add s0,s0,a7
400040fe: 12e81063 bne a6,a4,4000421e <r_co_util_pack+0x2a2>
40004102: fff7c603 lbu a2,-1(a5)
40004106: 8cbe mv s9,a5
40004108: 4701 li a4,0
4000410a: b7b1 j 40004056 <r_co_util_pack+0xda>
4000410c: 167d addi a2,a2,-1
4000410e: 0642 slli a2,a2,0x10
40004110: 8241 srli a2,a2,0x10
40004112: 00cc85b3 add a1,s9,a2
40004116: 0005c583 lbu a1,0(a1)
4000411a: 0705 addi a4,a4,1
4000411c: feb70fa3 sb a1,-1(a4)
40004120: f675 bnez a2,4000410c <r_co_util_pack+0x190>
40004122: bfd9 j 400040f8 <r_co_util_pack+0x17c>
40004124: 8722 mv a4,s0
40004126: bfed j 40004120 <r_co_util_pack+0x1a4>
40004128: 001c8593 addi a1,s9,1
4000412c: 99f9 andi a1,a1,-2
4000412e: 00258893 addi a7,a1,2
40004132: 000b0e63 beqz s6,4000414e <r_co_util_pack+0x1d2>
40004136: 0514e963 bltu s1,a7,40004188 <r_co_util_pack+0x20c>
4000413a: 0005d783 lhu a5,0(a1)
4000413e: 0087d313 srli t1,a5,0x8
40004142: 020d8363 beqz s11,40004168 <r_co_util_pack+0x1ec>
40004146: 00f40023 sb a5,0(s0)
4000414a: 006400a3 sb t1,1(s0)
4000414e: 0505 addi a0,a0,1
40004150: 0409 addi s0,s0,2
40004152: 0ff57513 andi a0,a0,255
40004156: 8cc6 mv s9,a7
40004158: fcc568e3 bltu a0,a2,40004128 <r_co_util_pack+0x1ac>
4000415c: 4605 li a2,1
4000415e: ef581ce3 bne a6,s5,40004056 <r_co_util_pack+0xda>
40004162: 0005d603 lhu a2,0(a1)
40004166: bdc5 j 40004056 <r_co_util_pack+0xda>
40004168: 07a2 slli a5,a5,0x8
4000416a: 0067e7b3 or a5,a5,t1
4000416e: 07c2 slli a5,a5,0x10
40004170: 87c1 srai a5,a5,0x10
40004172: 00f40023 sb a5,0(s0)
40004176: 07c2 slli a5,a5,0x10
40004178: 83c1 srli a5,a5,0x10
4000417a: 83a1 srli a5,a5,0x8
4000417c: 00f400a3 sb a5,1(s0)
40004180: b7f9 j 4000414e <r_co_util_pack+0x1d2>
40004182: 4501 li a0,0
40004184: 4581 li a1,0
40004186: bfc9 j 40004158 <r_co_util_pack+0x1dc>
40004188: 4d05 li s10,1
4000418a: bfc9 j 4000415c <r_co_util_pack+0x1e0>
4000418c: 003c8793 addi a5,s9,3
40004190: 9bf1 andi a5,a5,-4
40004192: 00478813 addi a6,a5,4
40004196: 020b0063 beqz s6,400041b6 <r_co_util_pack+0x23a>
4000419a: 0904e563 bltu s1,a6,40004224 <r_co_util_pack+0x2a8>
4000419e: 438c lw a1,0(a5)
400041a0: 0105d513 srli a0,a1,0x10
400041a4: 000d8c63 beqz s11,400041bc <r_co_util_pack+0x240>
400041a8: 00b40023 sb a1,0(s0)
400041ac: 81a1 srli a1,a1,0x8
400041ae: 00b400a3 sb a1,1(s0)
400041b2: 00a40123 sb a0,2(s0)
400041b6: 040d addi s0,s0,3
400041b8: 8cc2 mv s9,a6
400041ba: bd71 j 40004056 <r_co_util_pack+0xda>
400041bc: 01059793 slli a5,a1,0x10
400041c0: 00ff08b7 lui a7,0xff0
400041c4: 0117f7b3 and a5,a5,a7
400041c8: 0ff57513 andi a0,a0,255
400041cc: 0125f5b3 and a1,a1,s2
400041d0: 8fc9 or a5,a5,a0
400041d2: 8fcd or a5,a5,a1
400041d4: 0087d593 srli a1,a5,0x8
400041d8: 00f40023 sb a5,0(s0)
400041dc: 83c1 srli a5,a5,0x10
400041de: 00b400a3 sb a1,1(s0)
400041e2: 00f40123 sb a5,2(s0)
400041e6: bfc1 j 400041b6 <r_co_util_pack+0x23a>
400041e8: 00859793 slli a5,a1,0x8
400041ec: 00ff0337 lui t1,0xff0
400041f0: 05e2 slli a1,a1,0x18
400041f2: 0115e5b3 or a1,a1,a7
400041f6: 0067f7b3 and a5,a5,t1
400041fa: 8fcd or a5,a5,a1
400041fc: 01257533 and a0,a0,s2
40004200: 8fc9 or a5,a5,a0
40004202: 0087d593 srli a1,a5,0x8
40004206: 00f40023 sb a5,0(s0)
4000420a: 00b400a3 sb a1,1(s0)
4000420e: 0107d593 srli a1,a5,0x10
40004212: 83e1 srli a5,a5,0x18
40004214: 00b40123 sb a1,2(s0)
40004218: 00f401a3 sb a5,3(s0)
4000421c: b57d j 400040ca <r_co_util_pack+0x14e>
4000421e: 8cbe mv s9,a5
40004220: 4605 li a2,1
40004222: b5dd j 40004108 <r_co_util_pack+0x18c>
40004224: 4d05 li s10,1
40004226: bd05 j 40004056 <r_co_util_pack+0xda>
40004228: e20d1ee3 bnez s10,40004064 <r_co_util_pack+0xe8>
4000422c: 4782 lw a5,0(sp)
4000422e: 41340433 sub s0,s0,s3
40004232: 00879023 sh s0,0(a5)
40004236: b53d j 40004064 <r_co_util_pack+0xe8>
40004238 <r_co_util_unpack>:
40004238: 711d addi sp,sp,-96
4000423a: da66 sw s9,52(sp)
4000423c: 00065c83 lhu s9,0(a2)
40004240: caa6 sw s1,84(sp)
40004242: c4d2 sw s4,72(sp)
40004244: d86a sw s10,48(sp)
40004246: d66e sw s11,44(sp)
40004248: ce86 sw ra,92(sp)
4000424a: cca2 sw s0,88(sp)
4000424c: c8ca sw s2,80(sp)
4000424e: c6ce sw s3,76(sp)
40004250: c2d6 sw s5,68(sp)
40004252: c0da sw s6,64(sp)
40004254: de5e sw s7,60(sp)
40004256: dc62 sw s8,56(sp)
40004258: ce3a sw a4,28(sp)
4000425a: 8d2a mv s10,a0
4000425c: 84b2 mv s1,a2
4000425e: 00d58db3 add s11,a1,a3
40004262: 9caa add s9,s9,a0
40004264: 00b03a33 snez s4,a1
40004268: e111 bnez a0,4000426c <r_co_util_unpack+0x34>
4000426a: 4a01 li s4,0
4000426c: 00074783 lbu a5,0(a4)
40004270: 03c00613 li a2,60
40004274: 04c79f63 bne a5,a2,400042d2 <r_co_util_unpack+0x9a>
40004278: 0705 addi a4,a4,1
4000427a: ce3a sw a4,28(sp)
4000427c: 4c05 li s8,1
4000427e: 6441 lui s0,0x10
40004280: 8b6a mv s6,s10
40004282: 4605 li a2,1
40004284: 4701 li a4,0
40004286: 4b81 li s7,0
40004288: 3fce0ab7 lui s5,0x3fce0
4000428c: 04800913 li s2,72
40004290: 04e00993 li s3,78
40004294: f0040413 addi s0,s0,-256 # ff00 <RvExcFrameSize+0xfe70>
40004298: 47f2 lw a5,28(sp)
4000429a: 0007c783 lbu a5,0(a5)
4000429e: c399 beqz a5,400042a4 <r_co_util_unpack+0x6c>
400042a0: 040b8263 beqz s7,400042e4 <r_co_util_unpack+0xac>
400042a4: 00bdf363 bgeu s11,a1,400042aa <r_co_util_unpack+0x72>
400042a8: 4b85 li s7,1
400042aa: 40f6 lw ra,92(sp)
400042ac: 4466 lw s0,88(sp)
400042ae: 41ab0b33 sub s6,s6,s10
400042b2: 01649023 sh s6,0(s1)
400042b6: 855e mv a0,s7
400042b8: 44d6 lw s1,84(sp)
400042ba: 4946 lw s2,80(sp)
400042bc: 49b6 lw s3,76(sp)
400042be: 4a26 lw s4,72(sp)
400042c0: 4a96 lw s5,68(sp)
400042c2: 4b06 lw s6,64(sp)
400042c4: 5bf2 lw s7,60(sp)
400042c6: 5c62 lw s8,56(sp)
400042c8: 5cd2 lw s9,52(sp)
400042ca: 5d42 lw s10,48(sp)
400042cc: 5db2 lw s11,44(sp)
400042ce: 6125 addi sp,sp,96
400042d0: 8082 ret
400042d2: 03e00613 li a2,62
400042d6: 4c05 li s8,1
400042d8: fac793e3 bne a5,a2,4000427e <r_co_util_unpack+0x46>
400042dc: 0705 addi a4,a4,1
400042de: ce3a sw a4,28(sp)
400042e0: 4c01 li s8,0
400042e2: bf71 j 4000427e <r_co_util_unpack+0x46>
400042e4: fd078793 addi a5,a5,-48
400042e8: 0ff7f793 andi a5,a5,255
400042ec: 46a5 li a3,9
400042ee: 00f6ec63 bltu a3,a5,40004306 <r_co_util_unpack+0xce>
400042f2: f88aa783 lw a5,-120(s5) # 3fcdff88 <r_modules_funcs_p>
400042f6: 0868 addi a0,sp,28
400042f8: c42e sw a1,8(sp)
400042fa: 4bdc lw a5,20(a5)
400042fc: c23a sw a4,4(sp)
400042fe: 9782 jalr a5
40004300: 45a2 lw a1,8(sp)
40004302: 4712 lw a4,4(sp)
40004304: 862a mv a2,a0
40004306: 47f2 lw a5,28(sp)
40004308: 00178513 addi a0,a5,1
4000430c: ce2a sw a0,28(sp)
4000430e: 0007c783 lbu a5,0(a5)
40004312: 15278963 beq a5,s2,40004464 <r_co_util_unpack+0x22c>
40004316: 02f96063 bltu s2,a5,40004336 <r_co_util_unpack+0xfe>
4000431a: 04400513 li a0,68
4000431e: 14a78a63 beq a5,a0,40004472 <r_co_util_unpack+0x23a>
40004322: 04700513 li a0,71
40004326: 08a78363 beq a5,a0,400043ac <r_co_util_unpack+0x174>
4000432a: 04200513 li a0,66
4000432e: 08a78063 beq a5,a0,400043ae <r_co_util_unpack+0x176>
40004332: 4b8d li s7,3
40004334: b795 j 40004298 <r_co_util_unpack+0x60>
40004336: 13378763 beq a5,s3,40004464 <r_co_util_unpack+0x22c>
4000433a: 06e00513 li a0,110
4000433e: 06a78863 beq a5,a0,400043ae <r_co_util_unpack+0x176>
40004342: 04c00513 li a0,76
40004346: fea796e3 bne a5,a0,40004332 <r_co_util_unpack+0xfa>
4000434a: 003b0513 addi a0,s6,3 # 1003 <RvExcFrameSize+0xf73>
4000434e: 9971 andi a0,a0,-4
40004350: 00458813 addi a6,a1,4
40004354: 140a0a63 beqz s4,400044a8 <r_co_util_unpack+0x270>
40004358: 170dec63 bltu s11,a6,400044d0 <r_co_util_unpack+0x298>
4000435c: 00450793 addi a5,a0,4
40004360: 16fcea63 bltu s9,a5,400044d4 <r_co_util_unpack+0x29c>
40004364: 0035c783 lbu a5,3(a1)
40004368: 0025c883 lbu a7,2(a1)
4000436c: 07a2 slli a5,a5,0x8
4000436e: 0117e7b3 or a5,a5,a7
40004372: 0015c883 lbu a7,1(a1)
40004376: 0005c583 lbu a1,0(a1)
4000437a: 07c2 slli a5,a5,0x10
4000437c: 08a2 slli a7,a7,0x8
4000437e: 00b8e8b3 or a7,a7,a1
40004382: 0117e7b3 or a5,a5,a7
40004386: 120c1063 bnez s8,400044a6 <r_co_util_unpack+0x26e>
4000438a: 0187d893 srli a7,a5,0x18
4000438e: 01879593 slli a1,a5,0x18
40004392: 0115e5b3 or a1,a1,a7
40004396: 00ff0337 lui t1,0xff0
4000439a: 00879893 slli a7,a5,0x8
4000439e: 0068f8b3 and a7,a7,t1
400043a2: 83a1 srli a5,a5,0x8
400043a4: 0115e5b3 or a1,a1,a7
400043a8: 8fe1 and a5,a5,s0
400043aa: aa31 j 400044c6 <r_co_util_unpack+0x28e>
400043ac: 4705 li a4,1
400043ae: 88b2 mv a7,a2
400043b0: 00c58833 add a6,a1,a2
400043b4: 020a0463 beqz s4,400043dc <r_co_util_unpack+0x1a4>
400043b8: 110dec63 bltu s11,a6,400044d0 <r_co_util_unpack+0x298>
400043bc: 00cb0533 add a0,s6,a2
400043c0: 10acea63 bltu s9,a0,400044d4 <r_co_util_unpack+0x29c>
400043c4: c319 beqz a4,400043ca <r_co_util_unpack+0x192>
400043c6: 040c0163 beqz s8,40004408 <r_co_util_unpack+0x1d0>
400043ca: 855a mv a0,s6
400043cc: c642 sw a6,12(sp)
400043ce: c446 sw a7,8(sp)
400043d0: c23e sw a5,4(sp)
400043d2: 49e540ef jal ra,40058870 <memmove>
400043d6: 4792 lw a5,4(sp)
400043d8: 48a2 lw a7,8(sp)
400043da: 4832 lw a6,12(sp)
400043dc: 06e00713 li a4,110
400043e0: 9b46 add s6,s6,a7
400043e2: 0ee79463 bne a5,a4,400044ca <r_co_util_unpack+0x292>
400043e6: fff84603 lbu a2,-1(a6)
400043ea: 85c2 mv a1,a6
400043ec: 4701 li a4,0
400043ee: b56d j 40004298 <r_co_util_unpack+0x60>
400043f0: 167d addi a2,a2,-1
400043f2: 0642 slli a2,a2,0x10
400043f4: 8241 srli a2,a2,0x10
400043f6: 00c58533 add a0,a1,a2
400043fa: 00054503 lbu a0,0(a0)
400043fe: 0705 addi a4,a4,1
40004400: fea70fa3 sb a0,-1(a4)
40004404: f675 bnez a2,400043f0 <r_co_util_unpack+0x1b8>
40004406: bfd9 j 400043dc <r_co_util_unpack+0x1a4>
40004408: 875a mv a4,s6
4000440a: bfed j 40004404 <r_co_util_unpack+0x1cc>
4000440c: 001b0513 addi a0,s6,1
40004410: 9979 andi a0,a0,-2
40004412: 00258313 addi t1,a1,2
40004416: 020a0363 beqz s4,4000443c <r_co_util_unpack+0x204>
4000441a: 046de863 bltu s11,t1,4000446a <r_co_util_unpack+0x232>
4000441e: 00250893 addi a7,a0,2
40004422: 051ce663 bltu s9,a7,4000446e <r_co_util_unpack+0x236>
40004426: fff34583 lbu a1,-1(t1) # feffff <RvExcFrameSize+0xfeff6f>
4000442a: ffe34883 lbu a7,-2(t1)
4000442e: 05a2 slli a1,a1,0x8
40004430: 0115e5b3 or a1,a1,a7
40004434: 020c0263 beqz s8,40004458 <r_co_util_unpack+0x220>
40004438: 00b51023 sh a1,0(a0)
4000443c: 0805 addi a6,a6,1
4000443e: 00250b13 addi s6,a0,2
40004442: 0ff87813 andi a6,a6,255
40004446: 859a mv a1,t1
40004448: fcc862e3 bltu a6,a2,4000440c <r_co_util_unpack+0x1d4>
4000444c: 4605 li a2,1
4000444e: e53795e3 bne a5,s3,40004298 <r_co_util_unpack+0x60>
40004452: 00055603 lhu a2,0(a0)
40004456: b589 j 40004298 <r_co_util_unpack+0x60>
40004458: 00859893 slli a7,a1,0x8
4000445c: 81a1 srli a1,a1,0x8
4000445e: 00b8e5b3 or a1,a7,a1
40004462: bfd9 j 40004438 <r_co_util_unpack+0x200>
40004464: 4801 li a6,0
40004466: 4501 li a0,0
40004468: b7c5 j 40004448 <r_co_util_unpack+0x210>
4000446a: 4b85 li s7,1
4000446c: b7c5 j 4000444c <r_co_util_unpack+0x214>
4000446e: 4b89 li s7,2
40004470: bff1 j 4000444c <r_co_util_unpack+0x214>
40004472: 003b0513 addi a0,s6,3
40004476: 9971 andi a0,a0,-4
40004478: 00358813 addi a6,a1,3
4000447c: 020a0663 beqz s4,400044a8 <r_co_util_unpack+0x270>
40004480: 050de863 bltu s11,a6,400044d0 <r_co_util_unpack+0x298>
40004484: 00450793 addi a5,a0,4
40004488: 04fce663 bltu s9,a5,400044d4 <r_co_util_unpack+0x29c>
4000448c: 0015c783 lbu a5,1(a1)
40004490: 0005c883 lbu a7,0(a1)
40004494: 0025c583 lbu a1,2(a1)
40004498: 07a2 slli a5,a5,0x8
4000449a: 0117e7b3 or a5,a5,a7
4000449e: 05c2 slli a1,a1,0x10
400044a0: 8fcd or a5,a5,a1
400044a2: 000c0763 beqz s8,400044b0 <r_co_util_unpack+0x278>
400044a6: c11c sw a5,0(a0)
400044a8: 00450b13 addi s6,a0,4
400044ac: 85c2 mv a1,a6
400044ae: b3ed j 40004298 <r_co_util_unpack+0x60>
400044b0: 0107d893 srli a7,a5,0x10
400044b4: 0087f5b3 and a1,a5,s0
400044b8: 0115e5b3 or a1,a1,a7
400044bc: 07c2 slli a5,a5,0x10
400044be: 00ff08b7 lui a7,0xff0
400044c2: 0117f7b3 and a5,a5,a7
400044c6: 8fcd or a5,a5,a1
400044c8: bff9 j 400044a6 <r_co_util_unpack+0x26e>
400044ca: 85c2 mv a1,a6
400044cc: 4605 li a2,1
400044ce: bf39 j 400043ec <r_co_util_unpack+0x1b4>
400044d0: 4b85 li s7,1
400044d2: b3d9 j 40004298 <r_co_util_unpack+0x60>
400044d4: 4b89 li s7,2
400044d6: b3c9 j 40004298 <r_co_util_unpack+0x60>
400044d8 <r_co_ble_pkt_dur_in_us>:
400044d8: 4685 li a3,1
400044da: 02d58e63 beq a1,a3,40004516 <r_co_ble_pkt_dur_in_us+0x3e>
400044de: c98d beqz a1,40004510 <r_co_ble_pkt_dur_in_us+0x38>
400044e0: 4689 li a3,2
400044e2: 02d58d63 beq a1,a3,4000451c <r_co_ble_pkt_dur_in_us+0x44>
400044e6: 468d li a3,3
400044e8: 02d58e63 beq a1,a3,40004524 <r_co_ble_pkt_dur_in_us+0x4c>
400044ec: 3fce07b7 lui a5,0x3fce0
400044f0: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400044f4: 1141 addi sp,sp,-16
400044f6: 3ff1a637 lui a2,0x3ff1a
400044fa: 47dc lw a5,12(a5)
400044fc: c606 sw ra,12(sp)
400044fe: 2d800693 li a3,728
40004502: 47460613 addi a2,a2,1140 # 3ff1a474 <CSWTCH.72+0x148>
40004506: 9782 jalr a5
40004508: 40b2 lw ra,12(sp)
4000450a: 4501 li a0,0
4000450c: 0141 addi sp,sp,16
4000450e: 8082 ret
40004510: 0529 addi a0,a0,10
40004512: 050e slli a0,a0,0x3
40004514: 8082 ret
40004516: 052d addi a0,a0,11
40004518: 050a slli a0,a0,0x2
4000451a: 8082 ret
4000451c: 051a slli a0,a0,0x6
4000451e: 2d050513 addi a0,a0,720
40004522: 8082 ret
40004524: 0512 slli a0,a0,0x4
40004526: 1ce50513 addi a0,a0,462
4000452a: 8082 ret
4000452c <r_dbg_env_init>:
4000452c: 4505 li a0,1
4000452e: 8082 ret
40004530 <r_dbg_env_deinit>:
40004530: 8082 ret
40004532 <r_dbg_platform_reset_complete>:
40004532: 3fce07b7 lui a5,0x3fce0
40004536: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000453a: 1141 addi sp,sp,-16
4000453c: c422 sw s0,8(sp)
4000453e: 0c87a783 lw a5,200(a5)
40004542: 842a mv s0,a0
40004544: 6641 lui a2,0x10
40004546: 6505 lui a0,0x1
40004548: c606 sw ra,12(sp)
4000454a: 4685 li a3,1
4000454c: c1160613 addi a2,a2,-1007 # fc11 <RvExcFrameSize+0xfb81>
40004550: 4581 li a1,0
40004552: 10150513 addi a0,a0,257 # 1101 <RvExcFrameSize+0x1071>
40004556: 9782 jalr a5
40004558: a5a5a7b7 lui a5,0xa5a5a
4000455c: 5a578793 addi a5,a5,1445 # a5a5a5a5 <SPIMEM0+0x45a575a5>
40004560: 00f41f63 bne s0,a5,4000457e <r_dbg_platform_reset_complete+0x4c>
40004564: 478d li a5,3
40004566: 00f50023 sb a5,0(a0)
4000456a: 3fce07b7 lui a5,0x3fce0
4000456e: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40004572: 4422 lw s0,8(sp)
40004574: 40b2 lw ra,12(sp)
40004576: 08c7a303 lw t1,140(a5)
4000457a: 0141 addi sp,sp,16
4000457c: 8302 jr t1
4000457e: c3c3c7b7 lui a5,0xc3c3c
40004582: 3c378793 addi a5,a5,963 # c3c3c3c3 <SPIMEM0+0x63c393c3>
40004586: fef412e3 bne s0,a5,4000456a <r_dbg_platform_reset_complete+0x38>
4000458a: 00050023 sb zero,0(a0)
4000458e: bff1 j 4000456a <r_dbg_platform_reset_complete+0x38>
40004590 <r_isValidSecretKey_256>:
40004590: 47fd li a5,31
40004592: 577d li a4,-1
40004594: 00f506b3 add a3,a0,a5
40004598: 0006c683 lbu a3,0(a3)
4000459c: ea81 bnez a3,400045ac <r_isValidSecretKey_256+0x1c>
4000459e: 17fd addi a5,a5,-1
400045a0: fee79ae3 bne a5,a4,40004594 <r_isValidSecretKey_256+0x4>
400045a4: 00054703 lbu a4,0(a0)
400045a8: 4781 li a5,0
400045aa: cb0d beqz a4,400045dc <r_isValidSecretKey_256+0x4c>
400045ac: 3ff1f737 lui a4,0x3ff1f
400045b0: 47fd li a5,31
400045b2: ecc70713 addi a4,a4,-308 # 3ff1eecc <maxSecretKey_256>
400045b6: 55fd li a1,-1
400045b8: 00f506b3 add a3,a0,a5
400045bc: 0006c603 lbu a2,0(a3)
400045c0: 00f706b3 add a3,a4,a5
400045c4: 0006c683 lbu a3,0(a3)
400045c8: 00c6e963 bltu a3,a2,400045da <r_isValidSecretKey_256+0x4a>
400045cc: 00d66563 bltu a2,a3,400045d6 <r_isValidSecretKey_256+0x46>
400045d0: 17fd addi a5,a5,-1
400045d2: feb793e3 bne a5,a1,400045b8 <r_isValidSecretKey_256+0x28>
400045d6: 4785 li a5,1
400045d8: a011 j 400045dc <r_isValidSecretKey_256+0x4c>
400045da: 4781 li a5,0
400045dc: 853e mv a0,a5
400045de: 8082 ret
400045e0 <r_AddBigHex256>:
400045e0: 02000713 li a4,32
400045e4: 4681 li a3,0
400045e6: 5879 li a6,-2
400045e8: 00e507b3 add a5,a0,a4
400045ec: 00e588b3 add a7,a1,a4
400045f0: 0007d783 lhu a5,0(a5)
400045f4: 0008d883 lhu a7,0(a7) # ff0000 <RvExcFrameSize+0xfeff70>
400045f8: 97c6 add a5,a5,a7
400045fa: 97b6 add a5,a5,a3
400045fc: 00e606b3 add a3,a2,a4
40004600: 00f69023 sh a5,0(a3)
40004604: 1779 addi a4,a4,-2
40004606: 83c1 srli a5,a5,0x10
40004608: 0017f693 andi a3,a5,1
4000460c: fd071ee3 bne a4,a6,400045e8 <r_AddBigHex256+0x8>
40004610: 4781 li a5,0
40004612: 46c5 li a3,17
40004614: 00179713 slli a4,a5,0x1
40004618: 9732 add a4,a4,a2
4000461a: 00075703 lhu a4,0(a4)
4000461e: e701 bnez a4,40004626 <r_AddBigHex256+0x46>
40004620: 0785 addi a5,a5,1
40004622: fed799e3 bne a5,a3,40004614 <r_AddBigHex256+0x34>
40004626: 4745 li a4,17
40004628: 40f707b3 sub a5,a4,a5
4000462c: d25c sw a5,36(a2)
4000462e: 02062423 sw zero,40(a2)
40004632: 8082 ret
40004634 <r_AddBigHexModP256>:
40004634: 1141 addi sp,sp,-16
40004636: c226 sw s1,4(sp)
40004638: 3fce04b7 lui s1,0x3fce0
4000463c: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40004640: c422 sw s0,8(sp)
40004642: c606 sw ra,12(sp)
40004644: 3507a783 lw a5,848(a5)
40004648: 8432 mv s0,a2
4000464a: 9782 jalr a5
4000464c: 541c lw a5,40(s0)
4000464e: efa1 bnez a5,400046a6 <r_AddBigHexModP256+0x72>
40004650: 5058 lw a4,36(s0)
40004652: 47c1 li a5,16
40004654: 85a6 mv a1,s1
40004656: 02e7ea63 bltu a5,a4,4000468a <r_AddBigHexModP256+0x56>
4000465a: 06f71063 bne a4,a5,400046ba <r_AddBigHexModP256+0x86>
4000465e: 3ff1f737 lui a4,0x3ff1f
40004662: 4789 li a5,2
40004664: 6e870713 addi a4,a4,1768 # 3ff1f6e8 <bigHexP256>
40004668: 02200513 li a0,34
4000466c: 00f706b3 add a3,a4,a5
40004670: 0006d603 lhu a2,0(a3)
40004674: 00f406b3 add a3,s0,a5
40004678: 0006d683 lhu a3,0(a3)
4000467c: 02c6ef63 bltu a3,a2,400046ba <r_AddBigHexModP256+0x86>
40004680: 00d66563 bltu a2,a3,4000468a <r_AddBigHexModP256+0x56>
40004684: 0789 addi a5,a5,2
40004686: fea793e3 bne a5,a0,4000466c <r_AddBigHexModP256+0x38>
4000468a: f885a783 lw a5,-120(a1)
4000468e: 8522 mv a0,s0
40004690: 4422 lw s0,8(sp)
40004692: 40b2 lw ra,12(sp)
40004694: 4492 lw s1,4(sp)
40004696: 3b87a303 lw t1,952(a5)
4000469a: 3ff1f5b7 lui a1,0x3ff1f
4000469e: 6e858593 addi a1,a1,1768 # 3ff1f6e8 <bigHexP256>
400046a2: 0141 addi sp,sp,16
400046a4: 8302 jr t1
400046a6: f884a783 lw a5,-120(s1)
400046aa: 8522 mv a0,s0
400046ac: 4422 lw s0,8(sp)
400046ae: 40b2 lw ra,12(sp)
400046b0: 4492 lw s1,4(sp)
400046b2: 3587a303 lw t1,856(a5)
400046b6: 0141 addi sp,sp,16
400046b8: 8302 jr t1
400046ba: 40b2 lw ra,12(sp)
400046bc: 4422 lw s0,8(sp)
400046be: 4492 lw s1,4(sp)
400046c0: 0141 addi sp,sp,16
400046c2: 8082 ret
400046c4 <r_Add2SelfBigHex256>:
400046c4: 02000713 li a4,32
400046c8: 4601 li a2,0
400046ca: 5879 li a6,-2
400046cc: 00e506b3 add a3,a0,a4
400046d0: 00e587b3 add a5,a1,a4
400046d4: 0007d783 lhu a5,0(a5)
400046d8: 0006d883 lhu a7,0(a3)
400046dc: 1779 addi a4,a4,-2
400046de: 97c6 add a5,a5,a7
400046e0: 97b2 add a5,a5,a2
400046e2: 00f69023 sh a5,0(a3)
400046e6: 83c1 srli a5,a5,0x10
400046e8: 0017f613 andi a2,a5,1
400046ec: ff0710e3 bne a4,a6,400046cc <r_Add2SelfBigHex256+0x8>
400046f0: 4781 li a5,0
400046f2: 4645 li a2,17
400046f4: 00179713 slli a4,a5,0x1
400046f8: 9736 add a4,a4,a3
400046fa: 00075703 lhu a4,0(a4)
400046fe: e701 bnez a4,40004706 <r_Add2SelfBigHex256+0x42>
40004700: 0785 addi a5,a5,1
40004702: fec799e3 bne a5,a2,400046f4 <r_Add2SelfBigHex256+0x30>
40004706: 4745 li a4,17
40004708: 40f707b3 sub a5,a4,a5
4000470c: d2dc sw a5,36(a3)
4000470e: 8082 ret
40004710 <r_SubtractBigHex256>:
40004710: 02000793 li a5,32
40004714: 4681 li a3,0
40004716: 5379 li t1,-2
40004718: 00f58733 add a4,a1,a5
4000471c: 00075803 lhu a6,0(a4)
40004720: 00f50733 add a4,a0,a5
40004724: 00075883 lhu a7,0(a4)
40004728: 40d88733 sub a4,a7,a3
4000472c: 41070733 sub a4,a4,a6
40004730: 0742 slli a4,a4,0x10
40004732: 8341 srli a4,a4,0x10
40004734: 96c2 add a3,a3,a6
40004736: 00f60833 add a6,a2,a5
4000473a: 00e81023 sh a4,0(a6)
4000473e: 02d8f663 bgeu a7,a3,4000476a <r_SubtractBigHex256+0x5a>
40004742: 4685 li a3,1
40004744: 17f9 addi a5,a5,-2
40004746: fc6799e3 bne a5,t1,40004718 <r_SubtractBigHex256+0x8>
4000474a: 4781 li a5,0
4000474c: 46c5 li a3,17
4000474e: 00179713 slli a4,a5,0x1
40004752: 9732 add a4,a4,a2
40004754: 00075703 lhu a4,0(a4)
40004758: e701 bnez a4,40004760 <r_SubtractBigHex256+0x50>
4000475a: 0785 addi a5,a5,1
4000475c: fed799e3 bne a5,a3,4000474e <r_SubtractBigHex256+0x3e>
40004760: 4745 li a4,17
40004762: 40f707b3 sub a5,a4,a5
40004766: d25c sw a5,36(a2)
40004768: 8082 ret
4000476a: 4681 li a3,0
4000476c: bfe1 j 40004744 <r_SubtractBigHex256+0x34>
4000476e <r_SubtractFromSelfBigHex256>:
4000476e: 02000693 li a3,32
40004772: 4601 li a2,0
40004774: 5379 li t1,-2
40004776: 00d50733 add a4,a0,a3
4000477a: 00d587b3 add a5,a1,a3
4000477e: 00075883 lhu a7,0(a4)
40004782: 0007d803 lhu a6,0(a5)
40004786: 40c887b3 sub a5,a7,a2
4000478a: 410787b3 sub a5,a5,a6
4000478e: 07c2 slli a5,a5,0x10
40004790: 83c1 srli a5,a5,0x10
40004792: 9642 add a2,a2,a6
40004794: 00f71023 sh a5,0(a4)
40004798: 02c8f663 bgeu a7,a2,400047c4 <r_SubtractFromSelfBigHex256+0x56>
4000479c: 4605 li a2,1
4000479e: 16f9 addi a3,a3,-2
400047a0: fc669be3 bne a3,t1,40004776 <r_SubtractFromSelfBigHex256+0x8>
400047a4: 4781 li a5,0
400047a6: 4645 li a2,17
400047a8: 00179693 slli a3,a5,0x1
400047ac: 96ba add a3,a3,a4
400047ae: 0006d683 lhu a3,0(a3)
400047b2: e681 bnez a3,400047ba <r_SubtractFromSelfBigHex256+0x4c>
400047b4: 0785 addi a5,a5,1
400047b6: fec799e3 bne a5,a2,400047a8 <r_SubtractFromSelfBigHex256+0x3a>
400047ba: 46c5 li a3,17
400047bc: 40f687b3 sub a5,a3,a5
400047c0: d35c sw a5,36(a4)
400047c2: 8082 ret
400047c4: 4601 li a2,0
400047c6: bfe1 j 4000479e <r_SubtractFromSelfBigHex256+0x30>
400047c8 <r_AddP256>:
400047c8: 3fce07b7 lui a5,0x3fce0
400047cc: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400047d0: 7139 addi sp,sp,-64
400047d2: dc22 sw s0,56(sp)
400047d4: 3ac7a783 lw a5,940(a5)
400047d8: 842a mv s0,a0
400047da: 85aa mv a1,a0
400047dc: 3ff1f537 lui a0,0x3ff1f
400047e0: de06 sw ra,60(sp)
400047e2: 0050 addi a2,sp,4
400047e4: 6e850513 addi a0,a0,1768 # 3ff1f6e8 <bigHexP256>
400047e8: 9782 jalr a5
400047ea: 004c addi a1,sp,4
400047ec: 8522 mv a0,s0
400047ee: 02200613 li a2,34
400047f2: 7c9530ef jal ra,400587ba <memcpy>
400047f6: 57a2 lw a5,40(sp)
400047f8: 02042423 sw zero,40(s0)
400047fc: 50f2 lw ra,60(sp)
400047fe: d05c sw a5,36(s0)
40004800: 5462 lw s0,56(sp)
40004802: 6121 addi sp,sp,64
40004804: 8082 ret
40004806 <r_AddPdiv2_256>:
40004806: 551c lw a5,40(a0)
40004808: 7139 addi sp,sp,-64
4000480a: dc22 sw s0,56(sp)
4000480c: de06 sw ra,60(sp)
4000480e: 842a mv s0,a0
40004810: 3ff1f537 lui a0,0x3ff1f
40004814: efa5 bnez a5,4000488c <r_AddPdiv2_256+0x86>
40004816: 02000713 li a4,32
4000481a: 4681 li a3,0
4000481c: 6e850513 addi a0,a0,1768 # 3ff1f6e8 <bigHexP256>
40004820: 55f9 li a1,-2
40004822: 00e40633 add a2,s0,a4
40004826: 00e507b3 add a5,a0,a4
4000482a: 0007d783 lhu a5,0(a5)
4000482e: 00065803 lhu a6,0(a2)
40004832: 1779 addi a4,a4,-2
40004834: 97c2 add a5,a5,a6
40004836: 97b6 add a5,a5,a3
40004838: 00f61023 sh a5,0(a2)
4000483c: 83c1 srli a5,a5,0x10
4000483e: 0017f693 andi a3,a5,1
40004842: feb710e3 bne a4,a1,40004822 <r_AddPdiv2_256+0x1c>
40004846: 87a2 mv a5,s0
40004848: 02240613 addi a2,s0,34
4000484c: 4701 li a4,0
4000484e: 0007d683 lhu a3,0(a5)
40004852: 0742 slli a4,a4,0x10
40004854: 0789 addi a5,a5,2
40004856: 9736 add a4,a4,a3
40004858: 00175693 srli a3,a4,0x1
4000485c: fed79f23 sh a3,-2(a5)
40004860: 8b05 andi a4,a4,1
40004862: fef616e3 bne a2,a5,4000484e <r_AddPdiv2_256+0x48>
40004866: 4781 li a5,0
40004868: 46c5 li a3,17
4000486a: 00179713 slli a4,a5,0x1
4000486e: 9722 add a4,a4,s0
40004870: 00075703 lhu a4,0(a4)
40004874: e701 bnez a4,4000487c <r_AddPdiv2_256+0x76>
40004876: 0785 addi a5,a5,1
40004878: fed799e3 bne a5,a3,4000486a <r_AddPdiv2_256+0x64>
4000487c: 4745 li a4,17
4000487e: 40f707b3 sub a5,a4,a5
40004882: d05c sw a5,36(s0)
40004884: 50f2 lw ra,60(sp)
40004886: 5462 lw s0,56(sp)
40004888: 6121 addi sp,sp,64
4000488a: 8082 ret
4000488c: 5058 lw a4,36(s0)
4000488e: 47c1 li a5,16
40004890: 04e7ec63 bltu a5,a4,400048e8 <r_AddPdiv2_256+0xe2>
40004894: 02f71663 bne a4,a5,400048c0 <r_AddPdiv2_256+0xba>
40004898: 4789 li a5,2
4000489a: 6e850593 addi a1,a0,1768
4000489e: 02200613 li a2,34
400048a2: 00f58733 add a4,a1,a5
400048a6: 00075683 lhu a3,0(a4)
400048aa: 00f40733 add a4,s0,a5
400048ae: 00075703 lhu a4,0(a4)
400048b2: 00d76763 bltu a4,a3,400048c0 <r_AddPdiv2_256+0xba>
400048b6: 02e6e963 bltu a3,a4,400048e8 <r_AddPdiv2_256+0xe2>
400048ba: 0789 addi a5,a5,2
400048bc: fec793e3 bne a5,a2,400048a2 <r_AddPdiv2_256+0x9c>
400048c0: 3fce07b7 lui a5,0x3fce0
400048c4: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400048c8: 0050 addi a2,sp,4
400048ca: 85a2 mv a1,s0
400048cc: 3ac7a783 lw a5,940(a5)
400048d0: 6e850513 addi a0,a0,1768
400048d4: 9782 jalr a5
400048d6: 02200613 li a2,34
400048da: 004c addi a1,sp,4
400048dc: 8522 mv a0,s0
400048de: 6dd530ef jal ra,400587ba <memcpy>
400048e2: 02042423 sw zero,40(s0)
400048e6: b785 j 40004846 <r_AddPdiv2_256+0x40>
400048e8: 02000793 li a5,32
400048ec: 4681 li a3,0
400048ee: 6e850513 addi a0,a0,1768
400048f2: 58f9 li a7,-2
400048f4: 00f405b3 add a1,s0,a5
400048f8: 00f50733 add a4,a0,a5
400048fc: 0005d803 lhu a6,0(a1)
40004900: 00075603 lhu a2,0(a4)
40004904: 40d80733 sub a4,a6,a3
40004908: 8f11 sub a4,a4,a2
4000490a: 0742 slli a4,a4,0x10
4000490c: 8341 srli a4,a4,0x10
4000490e: 96b2 add a3,a3,a2
40004910: 00e59023 sh a4,0(a1)
40004914: 00d87763 bgeu a6,a3,40004922 <r_AddPdiv2_256+0x11c>
40004918: 4685 li a3,1
4000491a: 17f9 addi a5,a5,-2
4000491c: fd179ce3 bne a5,a7,400048f4 <r_AddPdiv2_256+0xee>
40004920: b71d j 40004846 <r_AddPdiv2_256+0x40>
40004922: 4681 li a3,0
40004924: bfdd j 4000491a <r_AddPdiv2_256+0x114>
40004926 <r_SubtractFromSelfBigHexSign256>:
40004926: 5510 lw a2,40(a0)
40004928: 7139 addi sp,sp,-64
4000492a: dc22 sw s0,56(sp)
4000492c: 3fce0737 lui a4,0x3fce0
40004930: de06 sw ra,60(sp)
40004932: 842a mv s0,a0
40004934: 87ae mv a5,a1
40004936: 5594 lw a3,40(a1)
40004938: f8872703 lw a4,-120(a4) # 3fcdff88 <r_modules_funcs_p>
4000493c: e635 bnez a2,400049a8 <r_SubtractFromSelfBigHexSign256+0x82>
4000493e: e6b5 bnez a3,400049aa <r_SubtractFromSelfBigHexSign256+0x84>
40004940: 5150 lw a2,36(a0)
40004942: 51d4 lw a3,36(a1)
40004944: 00c6e963 bltu a3,a2,40004956 <r_SubtractFromSelfBigHexSign256+0x30>
40004948: 02d66c63 bltu a2,a3,40004980 <r_SubtractFromSelfBigHexSign256+0x5a>
4000494c: 46c5 li a3,17
4000494e: 8e91 sub a3,a3,a2
40004950: 4541 li a0,16
40004952: 00d57863 bgeu a0,a3,40004962 <r_SubtractFromSelfBigHexSign256+0x3c>
40004956: 3b872703 lw a4,952(a4)
4000495a: 85be mv a1,a5
4000495c: 8522 mv a0,s0
4000495e: 9702 jalr a4
40004960: a081 j 400049a0 <r_SubtractFromSelfBigHexSign256+0x7a>
40004962: 00169613 slli a2,a3,0x1
40004966: 00c785b3 add a1,a5,a2
4000496a: 9622 add a2,a2,s0
4000496c: 0005d583 lhu a1,0(a1)
40004970: 00065603 lhu a2,0(a2)
40004974: 00b66663 bltu a2,a1,40004980 <r_SubtractFromSelfBigHexSign256+0x5a>
40004978: fcc5efe3 bltu a1,a2,40004956 <r_SubtractFromSelfBigHexSign256+0x30>
4000497c: 0685 addi a3,a3,1
4000497e: bfd1 j 40004952 <r_SubtractFromSelfBigHexSign256+0x2c>
40004980: 3ac72703 lw a4,940(a4)
40004984: 853e mv a0,a5
40004986: 0050 addi a2,sp,4
40004988: 85a2 mv a1,s0
4000498a: 9702 jalr a4
4000498c: 02200613 li a2,34
40004990: 004c addi a1,sp,4
40004992: 8522 mv a0,s0
40004994: 627530ef jal ra,400587ba <memcpy>
40004998: 57a2 lw a5,40(sp)
4000499a: d05c sw a5,36(s0)
4000499c: 4785 li a5,1
4000499e: d41c sw a5,40(s0)
400049a0: 50f2 lw ra,60(sp)
400049a2: 5462 lw s0,56(sp)
400049a4: 6121 addi sp,sp,64
400049a6: 8082 ret
400049a8: e689 bnez a3,400049b2 <r_SubtractFromSelfBigHexSign256+0x8c>
400049aa: 34c72783 lw a5,844(a4)
400049ae: 9782 jalr a5
400049b0: bfc5 j 400049a0 <r_SubtractFromSelfBigHexSign256+0x7a>
400049b2: 51d0 lw a2,36(a1)
400049b4: 5154 lw a3,36(a0)
400049b6: 00c6e963 bltu a3,a2,400049c8 <r_SubtractFromSelfBigHexSign256+0xa2>
400049ba: f8d66ee3 bltu a2,a3,40004956 <r_SubtractFromSelfBigHexSign256+0x30>
400049be: 46c5 li a3,17
400049c0: 8e91 sub a3,a3,a2
400049c2: 4541 li a0,16
400049c4: 02d57363 bgeu a0,a3,400049ea <r_SubtractFromSelfBigHexSign256+0xc4>
400049c8: 3ac72703 lw a4,940(a4)
400049cc: 853e mv a0,a5
400049ce: 0050 addi a2,sp,4
400049d0: 85a2 mv a1,s0
400049d2: 9702 jalr a4
400049d4: 02200613 li a2,34
400049d8: 004c addi a1,sp,4
400049da: 8522 mv a0,s0
400049dc: 5df530ef jal ra,400587ba <memcpy>
400049e0: 57a2 lw a5,40(sp)
400049e2: 02042423 sw zero,40(s0)
400049e6: d05c sw a5,36(s0)
400049e8: bf65 j 400049a0 <r_SubtractFromSelfBigHexSign256+0x7a>
400049ea: 00169613 slli a2,a3,0x1
400049ee: 00c405b3 add a1,s0,a2
400049f2: 963e add a2,a2,a5
400049f4: 0005d583 lhu a1,0(a1)
400049f8: 00065603 lhu a2,0(a2)
400049fc: f4b66de3 bltu a2,a1,40004956 <r_SubtractFromSelfBigHexSign256+0x30>
40004a00: fcc5e4e3 bltu a1,a2,400049c8 <r_SubtractFromSelfBigHexSign256+0xa2>
40004a04: 0685 addi a3,a3,1
40004a06: bf7d j 400049c4 <r_SubtractFromSelfBigHexSign256+0x9e>
40004a08 <r_SubtractBigHexMod256>:
40004a08: 02852883 lw a7,40(a0)
40004a0c: 1141 addi sp,sp,-16
40004a0e: c422 sw s0,8(sp)
40004a10: c226 sw s1,4(sp)
40004a12: c606 sw ra,12(sp)
40004a14: 3fce04b7 lui s1,0x3fce0
40004a18: 87aa mv a5,a0
40004a1a: 872e mv a4,a1
40004a1c: 8432 mv s0,a2
40004a1e: 0285a803 lw a6,40(a1)
40004a22: f884a683 lw a3,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40004a26: 08089363 bnez a7,40004aac <r_SubtractBigHexMod256+0xa4>
40004a2a: 04081a63 bnez a6,40004a7e <r_SubtractBigHexMod256+0x76>
40004a2e: 514c lw a1,36(a0)
40004a30: 5350 lw a2,36(a4)
40004a32: 00b66963 bltu a2,a1,40004a44 <r_SubtractBigHexMod256+0x3c>
40004a36: 02c5ed63 bltu a1,a2,40004a70 <r_SubtractBigHexMod256+0x68>
40004a3a: 4645 li a2,17
40004a3c: 8e0d sub a2,a2,a1
40004a3e: 4841 li a6,16
40004a40: 00c87963 bgeu a6,a2,40004a52 <r_SubtractBigHexMod256+0x4a>
40004a44: 3ac6a683 lw a3,940(a3)
40004a48: 8622 mv a2,s0
40004a4a: 85ba mv a1,a4
40004a4c: 853e mv a0,a5
40004a4e: 9682 jalr a3
40004a50: a815 j 40004a84 <r_SubtractBigHexMod256+0x7c>
40004a52: 00161593 slli a1,a2,0x1
40004a56: 00b70533 add a0,a4,a1
40004a5a: 95be add a1,a1,a5
40004a5c: 00055503 lhu a0,0(a0)
40004a60: 0005d583 lhu a1,0(a1)
40004a64: 00a5e663 bltu a1,a0,40004a70 <r_SubtractBigHexMod256+0x68>
40004a68: fcb56ee3 bltu a0,a1,40004a44 <r_SubtractBigHexMod256+0x3c>
40004a6c: 0605 addi a2,a2,1
40004a6e: bfc9 j 40004a40 <r_SubtractBigHexMod256+0x38>
40004a70: 3ac6a683 lw a3,940(a3)
40004a74: 8622 mv a2,s0
40004a76: 85be mv a1,a5
40004a78: 853a mv a0,a4
40004a7a: 9682 jalr a3
40004a7c: a82d j 40004ab6 <r_SubtractBigHexMod256+0xae>
40004a7e: 3506a783 lw a5,848(a3)
40004a82: 9782 jalr a5
40004a84: 5058 lw a4,36(s0)
40004a86: 02042423 sw zero,40(s0)
40004a8a: 47c1 li a5,16
40004a8c: 08e7f763 bgeu a5,a4,40004b1a <r_SubtractBigHexMod256+0x112>
40004a90: f884a783 lw a5,-120(s1)
40004a94: 8522 mv a0,s0
40004a96: 4422 lw s0,8(sp)
40004a98: 40b2 lw ra,12(sp)
40004a9a: 4492 lw s1,4(sp)
40004a9c: 3b87a303 lw t1,952(a5)
40004aa0: 3ff1f5b7 lui a1,0x3ff1f
40004aa4: 6e858593 addi a1,a1,1768 # 3ff1f6e8 <bigHexP256>
40004aa8: 0141 addi sp,sp,16
40004aaa: 8302 jr t1
40004aac: 02081163 bnez a6,40004ace <r_SubtractBigHexMod256+0xc6>
40004ab0: 3506a783 lw a5,848(a3)
40004ab4: 9782 jalr a5
40004ab6: 4785 li a5,1
40004ab8: d41c sw a5,40(s0)
40004aba: f884a783 lw a5,-120(s1)
40004abe: 8522 mv a0,s0
40004ac0: 4422 lw s0,8(sp)
40004ac2: 40b2 lw ra,12(sp)
40004ac4: 4492 lw s1,4(sp)
40004ac6: 3587a303 lw t1,856(a5)
40004aca: 0141 addi sp,sp,16
40004acc: 8302 jr t1
40004ace: 51cc lw a1,36(a1)
40004ad0: 5150 lw a2,36(a0)
40004ad2: 00b66963 bltu a2,a1,40004ae4 <r_SubtractBigHexMod256+0xdc>
40004ad6: 02c5ec63 bltu a1,a2,40004b0e <r_SubtractBigHexMod256+0x106>
40004ada: 4645 li a2,17
40004adc: 8e0d sub a2,a2,a1
40004ade: 4841 li a6,16
40004ae0: 00c87863 bgeu a6,a2,40004af0 <r_SubtractBigHexMod256+0xe8>
40004ae4: 3ac6a683 lw a3,940(a3)
40004ae8: 8622 mv a2,s0
40004aea: 85be mv a1,a5
40004aec: 853a mv a0,a4
40004aee: b785 j 40004a4e <r_SubtractBigHexMod256+0x46>
40004af0: 00161593 slli a1,a2,0x1
40004af4: 00b78533 add a0,a5,a1
40004af8: 95ba add a1,a1,a4
40004afa: 00055503 lhu a0,0(a0)
40004afe: 0005d583 lhu a1,0(a1)
40004b02: 00a5e663 bltu a1,a0,40004b0e <r_SubtractBigHexMod256+0x106>
40004b06: fcb56fe3 bltu a0,a1,40004ae4 <r_SubtractBigHexMod256+0xdc>
40004b0a: 0605 addi a2,a2,1
40004b0c: bfd1 j 40004ae0 <r_SubtractBigHexMod256+0xd8>
40004b0e: 3ac6a683 lw a3,940(a3)
40004b12: 8622 mv a2,s0
40004b14: 85ba mv a1,a4
40004b16: 853e mv a0,a5
40004b18: b78d j 40004a7a <r_SubtractBigHexMod256+0x72>
40004b1a: 02f71963 bne a4,a5,40004b4c <r_SubtractBigHexMod256+0x144>
40004b1e: 3ff1f737 lui a4,0x3ff1f
40004b22: 4789 li a5,2
40004b24: 6e870713 addi a4,a4,1768 # 3ff1f6e8 <bigHexP256>
40004b28: 02200593 li a1,34
40004b2c: 00f706b3 add a3,a4,a5
40004b30: 0006d603 lhu a2,0(a3)
40004b34: 00f406b3 add a3,s0,a5
40004b38: 0006d683 lhu a3,0(a3)
40004b3c: 00c6e863 bltu a3,a2,40004b4c <r_SubtractBigHexMod256+0x144>
40004b40: f4d668e3 bltu a2,a3,40004a90 <r_SubtractBigHexMod256+0x88>
40004b44: 0789 addi a5,a5,2
40004b46: feb793e3 bne a5,a1,40004b2c <r_SubtractBigHexMod256+0x124>
40004b4a: b799 j 40004a90 <r_SubtractBigHexMod256+0x88>
40004b4c: 40b2 lw ra,12(sp)
40004b4e: 4422 lw s0,8(sp)
40004b50: 4492 lw s1,4(sp)
40004b52: 0141 addi sp,sp,16
40004b54: 8082 ret
40004b56 <r_SubtractBigHexUint32_256>:
40004b56: 715d addi sp,sp,-80
40004b58: c4a2 sw s0,72(sp)
40004b5a: 8432 mv s0,a2
40004b5c: c2a6 sw s1,68(sp)
40004b5e: de4e sw s3,60(sp)
40004b60: 84aa mv s1,a0
40004b62: 89ae mv s3,a1
40004b64: 02200613 li a2,34
40004b68: 4581 li a1,0
40004b6a: 8522 mv a0,s0
40004b6c: c0ca sw s2,64(sp)
40004b6e: c686 sw ra,76(sp)
40004b70: 56f530ef jal ra,400588de <memset>
40004b74: 0204d783 lhu a5,32(s1)
40004b78: 01099913 slli s2,s3,0x10
40004b7c: 02042223 sw zero,36(s0)
40004b80: 02042423 sw zero,40(s0)
40004b84: 01095913 srli s2,s2,0x10
40004b88: 0337e963 bltu a5,s3,40004bba <r_SubtractBigHexUint32_256+0x64>
40004b8c: 02200613 li a2,34
40004b90: 85a6 mv a1,s1
40004b92: 8522 mv a0,s0
40004b94: 427530ef jal ra,400587ba <memcpy>
40004b98: 50dc lw a5,36(s1)
40004b9a: d05c sw a5,36(s0)
40004b9c: 549c lw a5,40(s1)
40004b9e: d41c sw a5,40(s0)
40004ba0: 0204d783 lhu a5,32(s1)
40004ba4: 412787b3 sub a5,a5,s2
40004ba8: 02f41023 sh a5,32(s0)
40004bac: 40b6 lw ra,76(sp)
40004bae: 4426 lw s0,72(sp)
40004bb0: 4496 lw s1,68(sp)
40004bb2: 4906 lw s2,64(sp)
40004bb4: 59f2 lw s3,60(sp)
40004bb6: 6161 addi sp,sp,80
40004bb8: 8082 ret
40004bba: 02000613 li a2,32
40004bbe: 4581 li a1,0
40004bc0: 0048 addi a0,sp,4
40004bc2: 51d530ef jal ra,400588de <memset>
40004bc6: 4785 li a5,1
40004bc8: d43e sw a5,40(sp)
40004bca: 3fce07b7 lui a5,0x3fce0
40004bce: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40004bd2: d602 sw zero,44(sp)
40004bd4: 03211223 sh s2,36(sp)
40004bd8: 3b07a783 lw a5,944(a5)
40004bdc: 8622 mv a2,s0
40004bde: 004c addi a1,sp,4
40004be0: 8526 mv a0,s1
40004be2: 9782 jalr a5
40004be4: b7e1 j 40004bac <r_SubtractBigHexUint32_256+0x56>
40004be6 <r_MultiplyBigHexModP256>:
40004be6: 7135 addi sp,sp,-160
40004be8: cd22 sw s0,152(sp)
40004bea: cb26 sw s1,148(sp)
40004bec: c94a sw s2,144(sp)
40004bee: 842e mv s0,a1
40004bf0: 892a mv s2,a0
40004bf2: 84b2 mv s1,a2
40004bf4: 4581 li a1,0
40004bf6: 04400613 li a2,68
40004bfa: 1848 addi a0,sp,52
40004bfc: cf06 sw ra,156(sp)
40004bfe: c74e sw s3,140(sp)
40004c00: c552 sw s4,136(sp)
40004c02: 4dd530ef jal ra,400588de <memset>
40004c06: 02000713 li a4,32
40004c0a: 02040813 addi a6,s0,32
40004c0e: 5379 li t1,-2
40004c10: 00e907b3 add a5,s2,a4
40004c14: 0007d883 lhu a7,0(a5)
40004c18: 185c addi a5,sp,52
40004c1a: 00e786b3 add a3,a5,a4
40004c1e: 8542 mv a0,a6
40004c20: 85b6 mv a1,a3
40004c22: 4781 li a5,0
40004c24: a011 j 40004c28 <r_MultiplyBigHexModP256+0x42>
40004c26: 8532 mv a0,a2
40004c28: 00055603 lhu a2,0(a0)
40004c2c: 0225de03 lhu t3,34(a1)
40004c30: 15f9 addi a1,a1,-2
40004c32: 03160633 mul a2,a2,a7
40004c36: 9672 add a2,a2,t3
40004c38: 97b2 add a5,a5,a2
40004c3a: 02f59223 sh a5,36(a1)
40004c3e: ffe50613 addi a2,a0,-2
40004c42: 83c1 srli a5,a5,0x10
40004c44: fea411e3 bne s0,a0,40004c26 <r_MultiplyBigHexModP256+0x40>
40004c48: 1779 addi a4,a4,-2
40004c4a: fc6713e3 bne a4,t1,40004c10 <r_MultiplyBigHexModP256+0x2a>
40004c4e: 8736 mv a4,a3
40004c50: 4781 li a5,0
40004c52: 02200613 li a2,34
40004c56: 00075583 lhu a1,0(a4)
40004c5a: e589 bnez a1,40004c64 <r_MultiplyBigHexModP256+0x7e>
40004c5c: 0785 addi a5,a5,1
40004c5e: 0709 addi a4,a4,2
40004c60: fec79be3 bne a5,a2,40004c56 <r_MultiplyBigHexModP256+0x70>
40004c64: 02200713 li a4,34
40004c68: 5410 lw a2,40(s0)
40004c6a: 8f1d sub a4,a4,a5
40004c6c: 02892783 lw a5,40(s2)
40004c70: dcba sw a4,120(sp)
40004c72: 4401 li s0,0
40004c74: 8f91 sub a5,a5,a2
40004c76: 00f037b3 snez a5,a5
40004c7a: debe sw a5,124(sp)
40004c7c: 4645 li a2,17
40004c7e: 0006d583 lhu a1,0(a3)
40004c82: e581 bnez a1,40004c8a <r_MultiplyBigHexModP256+0xa4>
40004c84: 0689 addi a3,a3,2
40004c86: 0ac41c63 bne s0,a2,40004d3e <r_MultiplyBigHexModP256+0x158>
40004c8a: d83e sw a5,48(sp)
40004c8c: 47c1 li a5,16
40004c8e: 02e7c863 blt a5,a4,40004cbe <r_MultiplyBigHexModP256+0xd8>
40004c92: 02f71d63 bne a4,a5,40004ccc <r_MultiplyBigHexModP256+0xe6>
40004c96: 3ff1f737 lui a4,0x3ff1f
40004c9a: 02400793 li a5,36
40004c9e: e4870713 addi a4,a4,-440 # 3ff1ee48 <_rodata_end_interface_rom_pp>
40004ca2: 04400593 li a1,68
40004ca6: 00f706b3 add a3,a4,a5
40004caa: 0006d603 lhu a2,0(a3)
40004cae: 1854 addi a3,sp,52
40004cb0: 96be add a3,a3,a5
40004cb2: 0006d683 lhu a3,0(a3)
40004cb6: 08d60663 beq a2,a3,40004d42 <r_MultiplyBigHexModP256+0x15c>
40004cba: 00d67963 bgeu a2,a3,40004ccc <r_MultiplyBigHexModP256+0xe6>
40004cbe: 00141793 slli a5,s0,0x1
40004cc2: 1858 addi a4,sp,52
40004cc4: 97ba add a5,a5,a4
40004cc6: 0007d783 lhu a5,0(a5)
40004cca: c3c1 beqz a5,40004d4a <r_MultiplyBigHexModP256+0x164>
40004ccc: 011c addi a5,sp,128
40004cce: 00141593 slli a1,s0,0x1
40004cd2: 95be add a1,a1,a5
40004cd4: 02200613 li a2,34
40004cd8: fb458593 addi a1,a1,-76
40004cdc: 0028 addi a0,sp,8
40004cde: 2dd530ef jal ra,400587ba <memcpy>
40004ce2: 0038 addi a4,sp,8
40004ce4: 4781 li a5,0
40004ce6: 46c5 li a3,17
40004ce8: 00075603 lhu a2,0(a4)
40004cec: e609 bnez a2,40004cf6 <r_MultiplyBigHexModP256+0x110>
40004cee: 0785 addi a5,a5,1
40004cf0: 0709 addi a4,a4,2
40004cf2: fed79be3 bne a5,a3,40004ce8 <r_MultiplyBigHexModP256+0x102>
40004cf6: 4745 li a4,17
40004cf8: 40f707b3 sub a5,a4,a5
40004cfc: d63e sw a5,44(sp)
40004cfe: 0445 addi s0,s0,17
40004d00: 3fce0a37 lui s4,0x3fce0
40004d04: 02100993 li s3,33
40004d08: 4945 li s2,17
40004d0a: f88a2783 lw a5,-120(s4) # 3fcdff88 <r_modules_funcs_p>
40004d0e: 0028 addi a0,sp,8
40004d10: 3a87a783 lw a5,936(a5)
40004d14: 0289fd63 bgeu s3,s0,40004d4e <r_MultiplyBigHexModP256+0x168>
40004d18: 9782 jalr a5
40004d1a: 002c addi a1,sp,8
40004d1c: 8526 mv a0,s1
40004d1e: 02200613 li a2,34
40004d22: 299530ef jal ra,400587ba <memcpy>
40004d26: 57b2 lw a5,44(sp)
40004d28: 40fa lw ra,156(sp)
40004d2a: 446a lw s0,152(sp)
40004d2c: d0dc sw a5,36(s1)
40004d2e: 57c2 lw a5,48(sp)
40004d30: 494a lw s2,144(sp)
40004d32: 49ba lw s3,140(sp)
40004d34: d49c sw a5,40(s1)
40004d36: 4a2a lw s4,136(sp)
40004d38: 44da lw s1,148(sp)
40004d3a: 610d addi sp,sp,160
40004d3c: 8082 ret
40004d3e: 0405 addi s0,s0,1
40004d40: bf3d j 40004c7e <r_MultiplyBigHexModP256+0x98>
40004d42: 0789 addi a5,a5,2
40004d44: f6b791e3 bne a5,a1,40004ca6 <r_MultiplyBigHexModP256+0xc0>
40004d48: bf9d j 40004cbe <r_MultiplyBigHexModP256+0xd8>
40004d4a: 0405 addi s0,s0,1
40004d4c: bf8d j 40004cbe <r_MultiplyBigHexModP256+0xd8>
40004d4e: 9782 jalr a5
40004d50: 02000613 li a2,32
40004d54: 00a10593 addi a1,sp,10
40004d58: 0028 addi a0,sp,8
40004d5a: 261530ef jal ra,400587ba <memcpy>
40004d5e: 1858 addi a4,sp,52
40004d60: 00141793 slli a5,s0,0x1
40004d64: 97ba add a5,a5,a4
40004d66: 0007d783 lhu a5,0(a5)
40004d6a: 0038 addi a4,sp,8
40004d6c: 02f11423 sh a5,40(sp)
40004d70: 4781 li a5,0
40004d72: 00075683 lhu a3,0(a4)
40004d76: e689 bnez a3,40004d80 <r_MultiplyBigHexModP256+0x19a>
40004d78: 0785 addi a5,a5,1
40004d7a: 0709 addi a4,a4,2
40004d7c: ff279be3 bne a5,s2,40004d72 <r_MultiplyBigHexModP256+0x18c>
40004d80: 40f907b3 sub a5,s2,a5
40004d84: d63e sw a5,44(sp)
40004d86: 0405 addi s0,s0,1
40004d88: b749 j 40004d0a <r_MultiplyBigHexModP256+0x124>
40004d8a <r_MultiplyBigHexByUint32_256>:
40004d8a: 02000793 li a5,32
40004d8e: 4701 li a4,0
40004d90: 5879 li a6,-2
40004d92: 00f506b3 add a3,a0,a5
40004d96: 0006d683 lhu a3,0(a3)
40004d9a: 02b686b3 mul a3,a3,a1
40004d9e: 9736 add a4,a4,a3
40004da0: 00f606b3 add a3,a2,a5
40004da4: 00e69023 sh a4,0(a3)
40004da8: 17f9 addi a5,a5,-2
40004daa: 8341 srli a4,a4,0x10
40004dac: ff0793e3 bne a5,a6,40004d92 <r_MultiplyBigHexByUint32_256+0x8>
40004db0: 4781 li a5,0
40004db2: 46c5 li a3,17
40004db4: 00179713 slli a4,a5,0x1
40004db8: 9732 add a4,a4,a2
40004dba: 00075703 lhu a4,0(a4)
40004dbe: e701 bnez a4,40004dc6 <r_MultiplyBigHexByUint32_256+0x3c>
40004dc0: 0785 addi a5,a5,1
40004dc2: fed799e3 bne a5,a3,40004db4 <r_MultiplyBigHexByUint32_256+0x2a>
40004dc6: 4745 li a4,17
40004dc8: 40f707b3 sub a5,a4,a5
40004dcc: d25c sw a5,36(a2)
40004dce: 551c lw a5,40(a0)
40004dd0: 8532 mv a0,a2
40004dd2: d61c sw a5,40(a2)
40004dd4: 3fce07b7 lui a5,0x3fce0
40004dd8: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40004ddc: 3a87a303 lw t1,936(a5)
40004de0: 8302 jr t1
40004de2 <r_specialModP256>:
40004de2: 7139 addi sp,sp,-64
40004de4: dc22 sw s0,56(sp)
40004de6: 02200613 li a2,34
40004dea: 842a mv s0,a0
40004dec: 4581 li a1,0
40004dee: 0048 addi a0,sp,4
40004df0: de06 sw ra,60(sp)
40004df2: da26 sw s1,52(sp)
40004df4: 2eb530ef jal ra,400588de <memset>
40004df8: 541c lw a5,40(s0)
40004dfa: d402 sw zero,40(sp)
40004dfc: d602 sw zero,44(sp)
40004dfe: e3f9 bnez a5,40004ec4 <r_specialModP256+0xe2>
40004e00: 5054 lw a3,36(s0)
40004e02: 4741 li a4,16
40004e04: 02d76a63 bltu a4,a3,40004e38 <r_specialModP256+0x56>
40004e08: 4789 li a5,2
40004e0a: 0ce69063 bne a3,a4,40004eca <r_specialModP256+0xe8>
40004e0e: 3ff1f737 lui a4,0x3ff1f
40004e12: 6e870713 addi a4,a4,1768 # 3ff1f6e8 <bigHexP256>
40004e16: 02200593 li a1,34
40004e1a: 00f706b3 add a3,a4,a5
40004e1e: 0006d603 lhu a2,0(a3)
40004e22: 00f406b3 add a3,s0,a5
40004e26: 0006d683 lhu a3,0(a3)
40004e2a: 0ac6e063 bltu a3,a2,40004eca <r_specialModP256+0xe8>
40004e2e: 00d66563 bltu a2,a3,40004e38 <r_specialModP256+0x56>
40004e32: 0789 addi a5,a5,2
40004e34: feb793e3 bne a5,a1,40004e1a <r_specialModP256+0x38>
40004e38: 5058 lw a4,36(s0)
40004e3a: 47c1 li a5,16
40004e3c: 02e7f963 bgeu a5,a4,40004e6e <r_specialModP256+0x8c>
40004e40: 47c5 li a5,17
40004e42: 3fce04b7 lui s1,0x3fce0
40004e46: 8f99 sub a5,a5,a4
40004e48: f884a703 lw a4,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40004e4c: 0786 slli a5,a5,0x1
40004e4e: 97a2 add a5,a5,s0
40004e50: 0007d503 lhu a0,0(a5)
40004e54: 3a072703 lw a4,928(a4)
40004e58: 004c addi a1,sp,4
40004e5a: 9702 jalr a4
40004e5c: 541c lw a5,40(s0)
40004e5e: ebbd bnez a5,40004ed4 <r_specialModP256+0xf2>
40004e60: f884a783 lw a5,-120(s1)
40004e64: 004c addi a1,sp,4
40004e66: 8522 mv a0,s0
40004e68: 3bc7a783 lw a5,956(a5)
40004e6c: 9782 jalr a5
40004e6e: 541c lw a5,40(s0)
40004e70: efb5 bnez a5,40004eec <r_specialModP256+0x10a>
40004e72: 5058 lw a4,36(s0)
40004e74: 47c1 li a5,16
40004e76: 02e7ea63 bltu a5,a4,40004eaa <r_specialModP256+0xc8>
40004e7a: 04f71863 bne a4,a5,40004eca <r_specialModP256+0xe8>
40004e7e: 3ff1f737 lui a4,0x3ff1f
40004e82: 4789 li a5,2
40004e84: 6e870713 addi a4,a4,1768 # 3ff1f6e8 <bigHexP256>
40004e88: 02200593 li a1,34
40004e8c: 00f706b3 add a3,a4,a5
40004e90: 0006d603 lhu a2,0(a3)
40004e94: 00f406b3 add a3,s0,a5
40004e98: 0006d683 lhu a3,0(a3)
40004e9c: 02c6e763 bltu a3,a2,40004eca <r_specialModP256+0xe8>
40004ea0: 00d66563 bltu a2,a3,40004eaa <r_specialModP256+0xc8>
40004ea4: 0789 addi a5,a5,2
40004ea6: feb793e3 bne a5,a1,40004e8c <r_specialModP256+0xaa>
40004eaa: 3fce07b7 lui a5,0x3fce0
40004eae: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40004eb2: 3ff1f5b7 lui a1,0x3ff1f
40004eb6: 6e858593 addi a1,a1,1768 # 3ff1f6e8 <bigHexP256>
40004eba: 3b87a783 lw a5,952(a5)
40004ebe: 8522 mv a0,s0
40004ec0: 9782 jalr a5
40004ec2: a021 j 40004eca <r_specialModP256+0xe8>
40004ec4: 4705 li a4,1
40004ec6: f6e789e3 beq a5,a4,40004e38 <r_specialModP256+0x56>
40004eca: 50f2 lw ra,60(sp)
40004ecc: 5462 lw s0,56(sp)
40004ece: 54d2 lw s1,52(sp)
40004ed0: 6121 addi sp,sp,64
40004ed2: 8082 ret
40004ed4: f884a783 lw a5,-120(s1)
40004ed8: 02042423 sw zero,40(s0)
40004edc: 004c addi a1,sp,4
40004ede: 3bc7a783 lw a5,956(a5)
40004ee2: 8522 mv a0,s0
40004ee4: 9782 jalr a5
40004ee6: 4785 li a5,1
40004ee8: d41c sw a5,40(s0)
40004eea: b751 j 40004e6e <r_specialModP256+0x8c>
40004eec: 4705 li a4,1
40004eee: fce79ee3 bne a5,a4,40004eca <r_specialModP256+0xe8>
40004ef2: 3fce07b7 lui a5,0x3fce0
40004ef6: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40004efa: 8522 mv a0,s0
40004efc: 3587a783 lw a5,856(a5)
40004f00: 9782 jalr a5
40004f02: b7e1 j 40004eca <r_specialModP256+0xe8>
40004f04 <r_MultiplyByU16ModP256>:
40004f04: fff50793 addi a5,a0,-1
40004f08: 40a00733 neg a4,a0
40004f0c: 56fd li a3,-1
40004f0e: 07c2 slli a5,a5,0x10
40004f10: 0742 slli a4,a4,0x10
40004f12: 83c1 srli a5,a5,0x10
40004f14: 8341 srli a4,a4,0x10
40004f16: 00d59123 sh a3,2(a1)
40004f1a: 00d59b23 sh a3,22(a1)
40004f1e: 56fd li a3,-1
40004f20: 00f59023 sh a5,0(a1)
40004f24: 00e59223 sh a4,4(a1)
40004f28: 00059323 sh zero,6(a1)
40004f2c: 00a59423 sh a0,8(a1)
40004f30: 00059523 sh zero,10(a1)
40004f34: 0005a623 sw zero,12(a1)
40004f38: 0005a823 sw zero,16(a1)
40004f3c: 00f59a23 sh a5,20(a1)
40004f40: cd94 sw a3,24(a1)
40004f42: cdd4 sw a3,28(a1)
40004f44: 02e59023 sh a4,32(a1)
40004f48: 0205a423 sw zero,40(a1)
40004f4c: c781 beqz a5,40004f54 <r_MultiplyByU16ModP256+0x50>
40004f4e: 47c5 li a5,17
40004f50: d1dc sw a5,36(a1)
40004f52: 8082 ret
40004f54: 47c1 li a5,16
40004f56: bfed j 40004f50 <r_MultiplyByU16ModP256+0x4c>
40004f58 <r_notEqual256>:
40004f58: 4781 li a5,0
40004f5a: 02200613 li a2,34
40004f5e: 00f506b3 add a3,a0,a5
40004f62: 00f58733 add a4,a1,a5
40004f66: 0006d683 lhu a3,0(a3)
40004f6a: 00075703 lhu a4,0(a4)
40004f6e: 00e69763 bne a3,a4,40004f7c <r_notEqual256+0x24>
40004f72: 0789 addi a5,a5,2
40004f74: fec795e3 bne a5,a2,40004f5e <r_notEqual256+0x6>
40004f78: 4501 li a0,0
40004f7a: 8082 ret
40004f7c: 4505 li a0,1
40004f7e: 8082 ret
40004f80 <r_GF_Jacobian_Point_Addition256>:
40004f80: d1010113 addi sp,sp,-752
40004f84: 2e812423 sw s0,744(sp)
40004f88: 8432 mv s0,a2
40004f8a: 02c50793 addi a5,a0,44
40004f8e: 2f212023 sw s2,736(sp)
40004f92: 2d312e23 sw s3,732(sp)
40004f96: 2d812423 sw s8,712(sp)
40004f9a: 2d912223 sw s9,708(sp)
40004f9e: 2da12023 sw s10,704(sp)
40004fa2: 89aa mv s3,a0
40004fa4: 02200613 li a2,34
40004fa8: 892e mv s2,a1
40004faa: 05850c93 addi s9,a0,88
40004fae: 02c58d13 addi s10,a1,44
40004fb2: 05858c13 addi s8,a1,88
40004fb6: 8522 mv a0,s0
40004fb8: 4581 li a1,0
40004fba: 2e112623 sw ra,748(sp)
40004fbe: c63e sw a5,12(sp)
40004fc0: 2e912223 sw s1,740(sp)
40004fc4: 2d412c23 sw s4,728(sp)
40004fc8: 2d512a23 sw s5,724(sp)
40004fcc: 2d612823 sw s6,720(sp)
40004fd0: 2d712623 sw s7,716(sp)
40004fd4: 2bb12e23 sw s11,700(sp)
40004fd8: 02c40b13 addi s6,s0,44
40004fdc: 103530ef jal ra,400588de <memset>
40004fe0: 02200613 li a2,34
40004fe4: 4581 li a1,0
40004fe6: 02042223 sw zero,36(s0)
40004fea: 02042423 sw zero,40(s0)
40004fee: 855a mv a0,s6
40004ff0: 0ef530ef jal ra,400588de <memset>
40004ff4: 05840a93 addi s5,s0,88
40004ff8: 02200613 li a2,34
40004ffc: 4581 li a1,0
40004ffe: 04042823 sw zero,80(s0)
40005002: 04042a23 sw zero,84(s0)
40005006: 8556 mv a0,s5
40005008: 0d7530ef jal ra,400588de <memset>
4000500c: 02200613 li a2,34
40005010: 4581 li a1,0
40005012: 06042e23 sw zero,124(s0)
40005016: 08042023 sw zero,128(s0)
4000501a: 0868 addi a0,sp,28
4000501c: 0c3530ef jal ra,400588de <memset>
40005020: 02200613 li a2,34
40005024: 4581 li a1,0
40005026: 00a8 addi a0,sp,72
40005028: c082 sw zero,64(sp)
4000502a: c282 sw zero,68(sp)
4000502c: 0b3530ef jal ra,400588de <memset>
40005030: 02200613 li a2,34
40005034: 4581 li a1,0
40005036: 18c8 addi a0,sp,116
40005038: d682 sw zero,108(sp)
4000503a: d882 sw zero,112(sp)
4000503c: 0a3530ef jal ra,400588de <memset>
40005040: 02200613 li a2,34
40005044: 4581 li a1,0
40005046: 1108 addi a0,sp,160
40005048: cd02 sw zero,152(sp)
4000504a: cf02 sw zero,156(sp)
4000504c: 093530ef jal ra,400588de <memset>
40005050: 02200613 li a2,34
40005054: 4581 li a1,0
40005056: 01e8 addi a0,sp,204
40005058: c382 sw zero,196(sp)
4000505a: c582 sw zero,200(sp)
4000505c: 083530ef jal ra,400588de <memset>
40005060: 02200613 li a2,34
40005064: 4581 li a1,0
40005066: 19a8 addi a0,sp,248
40005068: d982 sw zero,240(sp)
4000506a: db82 sw zero,244(sp)
4000506c: 073530ef jal ra,400588de <memset>
40005070: 02200613 li a2,34
40005074: 4581 li a1,0
40005076: 1248 addi a0,sp,292
40005078: 10012e23 sw zero,284(sp)
4000507c: 12012023 sw zero,288(sp)
40005080: 05f530ef jal ra,400588de <memset>
40005084: 02200613 li a2,34
40005088: 4581 li a1,0
4000508a: 0a88 addi a0,sp,336
4000508c: 14012423 sw zero,328(sp)
40005090: 14012623 sw zero,332(sp)
40005094: 04b530ef jal ra,400588de <memset>
40005098: 02200613 li a2,34
4000509c: 4581 li a1,0
4000509e: 1ae8 addi a0,sp,380
400050a0: 16012a23 sw zero,372(sp)
400050a4: 16012c23 sw zero,376(sp)
400050a8: 037530ef jal ra,400588de <memset>
400050ac: 02200613 li a2,34
400050b0: 4581 li a1,0
400050b2: 1328 addi a0,sp,424
400050b4: 1a012023 sw zero,416(sp)
400050b8: 1a012223 sw zero,420(sp)
400050bc: 023530ef jal ra,400588de <memset>
400050c0: 02200613 li a2,34
400050c4: 4581 li a1,0
400050c6: 0bc8 addi a0,sp,468
400050c8: 1c012623 sw zero,460(sp)
400050cc: 1c012823 sw zero,464(sp)
400050d0: 00f530ef jal ra,400588de <memset>
400050d4: 02200613 li a2,34
400050d8: 4581 li a1,0
400050da: 0408 addi a0,sp,512
400050dc: 3fce0a37 lui s4,0x3fce0
400050e0: 1e012c23 sw zero,504(sp)
400050e4: 1e012e23 sw zero,508(sp)
400050e8: 7f6530ef jal ra,400588de <memset>
400050ec: f88a2703 lw a4,-120(s4) # 3fcdff88 <r_modules_funcs_p>
400050f0: 3ff1fdb7 lui s11,0x3ff1f
400050f4: 22012223 sw zero,548(sp)
400050f8: 3a472703 lw a4,932(a4)
400050fc: 22012423 sw zero,552(sp)
40005100: 5e4d8593 addi a1,s11,1508 # 3ff1f5e4 <ecc_Jacobian_InfinityPoint256>
40005104: 854e mv a0,s3
40005106: 9702 jalr a4
40005108: 5e4d8b93 addi s7,s11,1508
4000510c: 84d2 mv s1,s4
4000510e: 12051f63 bnez a0,4000524c <r_GF_Jacobian_Point_Addition256+0x2cc>
40005112: f88a2703 lw a4,-120(s4)
40005116: 3ff1f7b7 lui a5,0x3ff1f
4000511a: 61078593 addi a1,a5,1552 # 3ff1f610 <ecc_Jacobian_InfinityPoint256+0x2c>
4000511e: 3a472703 lw a4,932(a4)
40005122: 02c98513 addi a0,s3,44
40005126: 9702 jalr a4
40005128: 12051263 bnez a0,4000524c <r_GF_Jacobian_Point_Addition256+0x2cc>
4000512c: 5e4d8713 addi a4,s11,1508
40005130: 05870713 addi a4,a4,88
40005134: c63a sw a4,12(sp)
40005136: f88a2703 lw a4,-120(s4)
4000513a: 45b2 lw a1,12(sp)
4000513c: 8566 mv a0,s9
4000513e: 3a472703 lw a4,932(a4)
40005142: 9702 jalr a4
40005144: 10051463 bnez a0,4000524c <r_GF_Jacobian_Point_Addition256+0x2cc>
40005148: f88a2703 lw a4,-120(s4)
4000514c: 5e4d8593 addi a1,s11,1508
40005150: 854a mv a0,s2
40005152: 3a472703 lw a4,932(a4)
40005156: 9702 jalr a4
40005158: e535 bnez a0,400051c4 <r_GF_Jacobian_Point_Addition256+0x244>
4000515a: f88a2703 lw a4,-120(s4)
4000515e: 3ff1f4b7 lui s1,0x3ff1f
40005162: 61048593 addi a1,s1,1552 # 3ff1f610 <ecc_Jacobian_InfinityPoint256+0x2c>
40005166: 3a472703 lw a4,932(a4)
4000516a: 856a mv a0,s10
4000516c: 9702 jalr a4
4000516e: e939 bnez a0,400051c4 <r_GF_Jacobian_Point_Addition256+0x244>
40005170: f88a2783 lw a5,-120(s4)
40005174: 45b2 lw a1,12(sp)
40005176: 8562 mv a0,s8
40005178: 3a47a783 lw a5,932(a5)
4000517c: 9782 jalr a5
4000517e: 8a2a mv s4,a0
40005180: e131 bnez a0,400051c4 <r_GF_Jacobian_Point_Addition256+0x244>
40005182: 02200613 li a2,34
40005186: 5e4d8593 addi a1,s11,1508
4000518a: 8522 mv a0,s0
4000518c: 62e530ef jal ra,400587ba <memcpy>
40005190: 02200613 li a2,34
40005194: 61048593 addi a1,s1,1552
40005198: 02042223 sw zero,36(s0)
4000519c: 02042423 sw zero,40(s0)
400051a0: 855a mv a0,s6
400051a2: 618530ef jal ra,400587ba <memcpy>
400051a6: 45b2 lw a1,12(sp)
400051a8: 4785 li a5,1
400051aa: c83c sw a5,80(s0)
400051ac: 04042a23 sw zero,84(s0)
400051b0: 02200613 li a2,34
400051b4: 8556 mv a0,s5
400051b6: 604530ef jal ra,400587ba <memcpy>
400051ba: 06042e23 sw zero,124(s0)
400051be: 08042023 sw zero,128(s0)
400051c2: a0b9 j 40005210 <r_GF_Jacobian_Point_Addition256+0x290>
400051c4: 02200613 li a2,34
400051c8: 85ca mv a1,s2
400051ca: 8522 mv a0,s0
400051cc: 5ee530ef jal ra,400587ba <memcpy>
400051d0: 02492783 lw a5,36(s2)
400051d4: 02200613 li a2,34
400051d8: 85ea mv a1,s10
400051da: d05c sw a5,36(s0)
400051dc: 02892783 lw a5,40(s2)
400051e0: 855a mv a0,s6
400051e2: 4a01 li s4,0
400051e4: d41c sw a5,40(s0)
400051e6: 5d4530ef jal ra,400587ba <memcpy>
400051ea: 05092783 lw a5,80(s2)
400051ee: 02200613 li a2,34
400051f2: 85e2 mv a1,s8
400051f4: c83c sw a5,80(s0)
400051f6: 05492783 lw a5,84(s2)
400051fa: 8556 mv a0,s5
400051fc: c87c sw a5,84(s0)
400051fe: 5bc530ef jal ra,400587ba <memcpy>
40005202: 07c92783 lw a5,124(s2)
40005206: dc7c sw a5,124(s0)
40005208: 08092783 lw a5,128(s2)
4000520c: 08f42023 sw a5,128(s0)
40005210: 2ec12083 lw ra,748(sp)
40005214: 2e812403 lw s0,744(sp)
40005218: 8552 mv a0,s4
4000521a: 2e412483 lw s1,740(sp)
4000521e: 2e012903 lw s2,736(sp)
40005222: 2dc12983 lw s3,732(sp)
40005226: 2d812a03 lw s4,728(sp)
4000522a: 2d412a83 lw s5,724(sp)
4000522e: 2d012b03 lw s6,720(sp)
40005232: 2cc12b83 lw s7,716(sp)
40005236: 2c812c03 lw s8,712(sp)
4000523a: 2c412c83 lw s9,708(sp)
4000523e: 2c012d03 lw s10,704(sp)
40005242: 2bc12d83 lw s11,700(sp)
40005246: 2f010113 addi sp,sp,752
4000524a: 8082 ret
4000524c: f884a783 lw a5,-120(s1)
40005250: 3ff1f737 lui a4,0x3ff1f
40005254: 5e470593 addi a1,a4,1508 # 3ff1f5e4 <ecc_Jacobian_InfinityPoint256>
40005258: 3a47a783 lw a5,932(a5)
4000525c: 854a mv a0,s2
4000525e: 9782 jalr a5
40005260: e93d bnez a0,400052d6 <r_GF_Jacobian_Point_Addition256+0x356>
40005262: f884a783 lw a5,-120(s1)
40005266: 02cb8593 addi a1,s7,44
4000526a: 856a mv a0,s10
4000526c: 3a47a783 lw a5,932(a5)
40005270: 9782 jalr a5
40005272: e135 bnez a0,400052d6 <r_GF_Jacobian_Point_Addition256+0x356>
40005274: f884a783 lw a5,-120(s1)
40005278: 058b8593 addi a1,s7,88
4000527c: 8562 mv a0,s8
4000527e: 3a47a783 lw a5,932(a5)
40005282: 9782 jalr a5
40005284: 8a2a mv s4,a0
40005286: e921 bnez a0,400052d6 <r_GF_Jacobian_Point_Addition256+0x356>
40005288: 02200613 li a2,34
4000528c: 85ce mv a1,s3
4000528e: 8522 mv a0,s0
40005290: 52a530ef jal ra,400587ba <memcpy>
40005294: 0249a783 lw a5,36(s3)
40005298: 02200613 li a2,34
4000529c: 02c98593 addi a1,s3,44
400052a0: d05c sw a5,36(s0)
400052a2: 0289a783 lw a5,40(s3)
400052a6: 855a mv a0,s6
400052a8: d41c sw a5,40(s0)
400052aa: 510530ef jal ra,400587ba <memcpy>
400052ae: 0509a783 lw a5,80(s3)
400052b2: 02200613 li a2,34
400052b6: 85e6 mv a1,s9
400052b8: c83c sw a5,80(s0)
400052ba: 0549a783 lw a5,84(s3)
400052be: 8556 mv a0,s5
400052c0: c87c sw a5,84(s0)
400052c2: 4f8530ef jal ra,400587ba <memcpy>
400052c6: 07c9a783 lw a5,124(s3)
400052ca: dc7c sw a5,124(s0)
400052cc: 0809a783 lw a5,128(s3)
400052d0: 08f42023 sw a5,128(s0)
400052d4: bf35 j 40005210 <r_GF_Jacobian_Point_Addition256+0x290>
400052d6: f884a783 lw a5,-120(s1)
400052da: 85ce mv a1,s3
400052dc: 854a mv a0,s2
400052de: 3a47a783 lw a5,932(a5)
400052e2: 9782 jalr a5
400052e4: 8a2a mv s4,a0
400052e6: e551 bnez a0,40005372 <r_GF_Jacobian_Point_Addition256+0x3f2>
400052e8: f884a783 lw a5,-120(s1)
400052ec: 02c98593 addi a1,s3,44
400052f0: 856a mv a0,s10
400052f2: 3a47a783 lw a5,932(a5)
400052f6: 9782 jalr a5
400052f8: c905 beqz a0,40005328 <r_GF_Jacobian_Point_Addition256+0x3a8>
400052fa: 3ff1f7b7 lui a5,0x3ff1f
400052fe: 5e478593 addi a1,a5,1508 # 3ff1f5e4 <ecc_Jacobian_InfinityPoint256>
40005302: 02200613 li a2,34
40005306: 8522 mv a0,s0
40005308: 4b2530ef jal ra,400587ba <memcpy>
4000530c: 02200613 li a2,34
40005310: 02042223 sw zero,36(s0)
40005314: 02042423 sw zero,40(s0)
40005318: 02cb8593 addi a1,s7,44
4000531c: 855a mv a0,s6
4000531e: 49c530ef jal ra,400587ba <memcpy>
40005322: 4785 li a5,1
40005324: c83c sw a5,80(s0)
40005326: a83d j 40005364 <r_GF_Jacobian_Point_Addition256+0x3e4>
40005328: 05092783 lw a5,80(s2)
4000532c: 4d85 li s11,1
4000532e: 04fde263 bltu s11,a5,40005372 <r_GF_Jacobian_Point_Addition256+0x3f2>
40005332: 04c95783 lhu a5,76(s2)
40005336: ef95 bnez a5,40005372 <r_GF_Jacobian_Point_Addition256+0x3f2>
40005338: 3ff1f7b7 lui a5,0x3ff1f
4000533c: 5e478593 addi a1,a5,1508 # 3ff1f5e4 <ecc_Jacobian_InfinityPoint256>
40005340: 02200613 li a2,34
40005344: 8522 mv a0,s0
40005346: 474530ef jal ra,400587ba <memcpy>
4000534a: 02200613 li a2,34
4000534e: 02042223 sw zero,36(s0)
40005352: 02042423 sw zero,40(s0)
40005356: 02cb8593 addi a1,s7,44
4000535a: 855a mv a0,s6
4000535c: 45e530ef jal ra,400587ba <memcpy>
40005360: 05b42823 sw s11,80(s0)
40005364: 04042a23 sw zero,84(s0)
40005368: 02200613 li a2,34
4000536c: 058b8593 addi a1,s7,88
40005370: b591 j 400051b4 <r_GF_Jacobian_Point_Addition256+0x234>
40005372: f884a783 lw a5,-120(s1)
40005376: 0870 addi a2,sp,28
40005378: 85e6 mv a1,s9
4000537a: 39c7a783 lw a5,924(a5)
4000537e: 856a mv a0,s10
40005380: 9782 jalr a5
40005382: f884a783 lw a5,-120(s1)
40005386: 00b0 addi a2,sp,72
40005388: 85e2 mv a1,s8
4000538a: 39c7a783 lw a5,924(a5)
4000538e: 02c98513 addi a0,s3,44
40005392: 9782 jalr a5
40005394: f884a783 lw a5,-120(s1)
40005398: 18d0 addi a2,sp,116
4000539a: 85e6 mv a1,s9
4000539c: 39c7a783 lw a5,924(a5)
400053a0: 854a mv a0,s2
400053a2: 9782 jalr a5
400053a4: f884a783 lw a5,-120(s1)
400053a8: 1110 addi a2,sp,160
400053aa: 85e2 mv a1,s8
400053ac: 39c7a783 lw a5,924(a5)
400053b0: 854e mv a0,s3
400053b2: 9782 jalr a5
400053b4: f884a783 lw a5,-120(s1)
400053b8: 110c addi a1,sp,160
400053ba: 18c8 addi a0,sp,116
400053bc: 3a47a783 lw a5,932(a5)
400053c0: 9782 jalr a5
400053c2: 8a2a mv s4,a0
400053c4: f884a783 lw a5,-120(s1)
400053c8: ed19 bnez a0,400053e6 <r_GF_Jacobian_Point_Addition256+0x466>
400053ca: 3a47a783 lw a5,932(a5)
400053ce: 00ac addi a1,sp,72
400053d0: 0868 addi a0,sp,28
400053d2: 9782 jalr a5
400053d4: f11d bnez a0,400052fa <r_GF_Jacobian_Point_Addition256+0x37a>
400053d6: f884a783 lw a5,-120(s1)
400053da: 85a2 mv a1,s0
400053dc: 854e mv a0,s3
400053de: 38c7a783 lw a5,908(a5)
400053e2: 9782 jalr a5
400053e4: b535 j 40005210 <r_GF_Jacobian_Point_Addition256+0x290>
400053e6: 3b07a783 lw a5,944(a5)
400053ea: 01f0 addi a2,sp,204
400053ec: 00ac addi a1,sp,72
400053ee: 0868 addi a0,sp,28
400053f0: 9782 jalr a5
400053f2: f884a783 lw a5,-120(s1)
400053f6: 19b0 addi a2,sp,248
400053f8: 110c addi a1,sp,160
400053fa: 3b07a783 lw a5,944(a5)
400053fe: 18c8 addi a0,sp,116
40005400: 4a05 li s4,1
40005402: 9782 jalr a5
40005404: f884a783 lw a5,-120(s1)
40005408: 0a90 addi a2,sp,336
4000540a: 85e2 mv a1,s8
4000540c: 39c7a783 lw a5,924(a5)
40005410: 8566 mv a0,s9
40005412: 9782 jalr a5
40005414: 02200613 li a2,34
40005418: 4581 li a1,0
4000541a: 1468 addi a0,sp,556
4000541c: 4c2530ef jal ra,400588de <memset>
40005420: 02200613 li a2,34
40005424: 4581 li a1,0
40005426: 0ca8 addi a0,sp,600
40005428: 24012823 sw zero,592(sp)
4000542c: 24012a23 sw zero,596(sp)
40005430: 4ae530ef jal ra,400588de <memset>
40005434: 02200613 li a2,34
40005438: 4581 li a1,0
4000543a: 0548 addi a0,sp,644
4000543c: 26012e23 sw zero,636(sp)
40005440: 28012023 sw zero,640(sp)
40005444: 49a530ef jal ra,400588de <memset>
40005448: f884a783 lw a5,-120(s1)
4000544c: 19ac addi a1,sp,248
4000544e: 852e mv a0,a1
40005450: 39c7a783 lw a5,924(a5)
40005454: 1af0 addi a2,sp,380
40005456: 2a012423 sw zero,680(sp)
4000545a: 2a012623 sw zero,684(sp)
4000545e: 9782 jalr a5
40005460: f884a783 lw a5,-120(s1)
40005464: 1330 addi a2,sp,424
40005466: 19ac addi a1,sp,248
40005468: 39c7a783 lw a5,924(a5)
4000546c: 1ae8 addi a0,sp,380
4000546e: 9782 jalr a5
40005470: f884a783 lw a5,-120(s1)
40005474: 01ec addi a1,sp,204
40005476: 852e mv a0,a1
40005478: 39c7a783 lw a5,924(a5)
4000547c: 0bd0 addi a2,sp,468
4000547e: 9782 jalr a5
40005480: f884a783 lw a5,-120(s1)
40005484: 0410 addi a2,sp,512
40005486: 110c addi a1,sp,160
40005488: 39c7a783 lw a5,924(a5)
4000548c: 1ae8 addi a0,sp,380
4000548e: 9782 jalr a5
40005490: f884a783 lw a5,-120(s1)
40005494: 0550 addi a2,sp,644
40005496: 4589 li a1,2
40005498: 3987a783 lw a5,920(a5)
4000549c: 0408 addi a0,sp,512
4000549e: 9782 jalr a5
400054a0: f884a783 lw a5,-120(s1)
400054a4: 1470 addi a2,sp,556
400054a6: 0a8c addi a1,sp,336
400054a8: 39c7a783 lw a5,924(a5)
400054ac: 0bc8 addi a0,sp,468
400054ae: 9782 jalr a5
400054b0: f884a783 lw a5,-120(s1)
400054b4: 0cb0 addi a2,sp,600
400054b6: 132c addi a1,sp,424
400054b8: 3b07a783 lw a5,944(a5)
400054bc: 1468 addi a0,sp,556
400054be: 9782 jalr a5
400054c0: f884a783 lw a5,-120(s1)
400054c4: 1250 addi a2,sp,292
400054c6: 054c addi a1,sp,644
400054c8: 3b07a783 lw a5,944(a5)
400054cc: 0ca8 addi a0,sp,600
400054ce: 9782 jalr a5
400054d0: f884a783 lw a5,-120(s1)
400054d4: 8622 mv a2,s0
400054d6: 124c addi a1,sp,292
400054d8: 39c7a783 lw a5,924(a5)
400054dc: 19a8 addi a0,sp,248
400054de: 9782 jalr a5
400054e0: 02200613 li a2,34
400054e4: 4581 li a1,0
400054e6: 1468 addi a0,sp,556
400054e8: 3f6530ef jal ra,400588de <memset>
400054ec: 02200613 li a2,34
400054f0: 4581 li a1,0
400054f2: 0ca8 addi a0,sp,600
400054f4: 24012823 sw zero,592(sp)
400054f8: 24012a23 sw zero,596(sp)
400054fc: 3e2530ef jal ra,400588de <memset>
40005500: 02200613 li a2,34
40005504: 4581 li a1,0
40005506: 0548 addi a0,sp,644
40005508: 26012e23 sw zero,636(sp)
4000550c: 28012023 sw zero,640(sp)
40005510: 3ce530ef jal ra,400588de <memset>
40005514: f884a783 lw a5,-120(s1)
40005518: 1470 addi a2,sp,556
4000551a: 124c addi a1,sp,292
4000551c: 3b07a783 lw a5,944(a5)
40005520: 0408 addi a0,sp,512
40005522: 2a012423 sw zero,680(sp)
40005526: 2a012623 sw zero,684(sp)
4000552a: 9782 jalr a5
4000552c: f884a783 lw a5,-120(s1)
40005530: 0cb0 addi a2,sp,600
40005532: 146c addi a1,sp,556
40005534: 39c7a783 lw a5,924(a5)
40005538: 01e8 addi a0,sp,204
4000553a: 9782 jalr a5
4000553c: f884a783 lw a5,-120(s1)
40005540: 0550 addi a2,sp,644
40005542: 00ac addi a1,sp,72
40005544: 39c7a783 lw a5,924(a5)
40005548: 1328 addi a0,sp,424
4000554a: 9782 jalr a5
4000554c: f884a783 lw a5,-120(s1)
40005550: 865a mv a2,s6
40005552: 054c addi a1,sp,644
40005554: 3b07a783 lw a5,944(a5)
40005558: 0ca8 addi a0,sp,600
4000555a: 9782 jalr a5
4000555c: f884a783 lw a5,-120(s1)
40005560: 8656 mv a2,s5
40005562: 0a8c addi a1,sp,336
40005564: 39c7a783 lw a5,924(a5)
40005568: 1328 addi a0,sp,424
4000556a: 9782 jalr a5
4000556c: b155 j 40005210 <r_GF_Jacobian_Point_Addition256+0x290>
4000556e <r_GF_Jacobian_Point_Double256>:
4000556e: 4938 lw a4,80(a0)
40005570: de010113 addi sp,sp,-544
40005574: 20912a23 sw s1,532(sp)
40005578: 21212823 sw s2,528(sp)
4000557c: 21312623 sw s3,524(sp)
40005580: 21412423 sw s4,520(sp)
40005584: 21512223 sw s5,516(sp)
40005588: 21612023 sw s6,512(sp)
4000558c: 1f712e23 sw s7,508(sp)
40005590: 1f812c23 sw s8,504(sp)
40005594: 3ff1fb37 lui s6,0x3ff1f
40005598: 20112e23 sw ra,540(sp)
4000559c: 20812c23 sw s0,536(sp)
400055a0: 4785 li a5,1
400055a2: 892a mv s2,a0
400055a4: 84ae mv s1,a1
400055a6: 02c50993 addi s3,a0,44
400055aa: 05850a13 addi s4,a0,88
400055ae: 5e4b0a93 addi s5,s6,1508 # 3ff1f5e4 <ecc_Jacobian_InfinityPoint256>
400055b2: 02c58c13 addi s8,a1,44
400055b6: 05858b93 addi s7,a1,88
400055ba: 06e7ee63 bltu a5,a4,40005636 <r_GF_Jacobian_Point_Double256+0xc8>
400055be: 04c55783 lhu a5,76(a0)
400055c2: ebb5 bnez a5,40005636 <r_GF_Jacobian_Point_Double256+0xc8>
400055c4: 02200613 li a2,34
400055c8: 5e4b0593 addi a1,s6,1508
400055cc: 8526 mv a0,s1
400055ce: 1ec530ef jal ra,400587ba <memcpy>
400055d2: 02200613 li a2,34
400055d6: 02ca8593 addi a1,s5,44
400055da: 0204a223 sw zero,36(s1)
400055de: 0204a423 sw zero,40(s1)
400055e2: 8562 mv a0,s8
400055e4: 1d6530ef jal ra,400587ba <memcpy>
400055e8: 4785 li a5,1
400055ea: c8bc sw a5,80(s1)
400055ec: 0404aa23 sw zero,84(s1)
400055f0: 02200613 li a2,34
400055f4: 058a8593 addi a1,s5,88
400055f8: 855e mv a0,s7
400055fa: 1c0530ef jal ra,400587ba <memcpy>
400055fe: 0604ae23 sw zero,124(s1)
40005602: 0804a023 sw zero,128(s1)
40005606: 21c12083 lw ra,540(sp)
4000560a: 21812403 lw s0,536(sp)
4000560e: 21412483 lw s1,532(sp)
40005612: 21012903 lw s2,528(sp)
40005616: 20c12983 lw s3,524(sp)
4000561a: 20812a03 lw s4,520(sp)
4000561e: 20412a83 lw s5,516(sp)
40005622: 20012b03 lw s6,512(sp)
40005626: 1fc12b83 lw s7,508(sp)
4000562a: 1f812c03 lw s8,504(sp)
4000562e: 4501 li a0,0
40005630: 22010113 addi sp,sp,544
40005634: 8082 ret
40005636: 3fce0437 lui s0,0x3fce0
4000563a: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000563e: 5e4b0593 addi a1,s6,1508
40005642: 854a mv a0,s2
40005644: 3a47a783 lw a5,932(a5)
40005648: 9782 jalr a5
4000564a: e11d bnez a0,40005670 <r_GF_Jacobian_Point_Double256+0x102>
4000564c: f8842783 lw a5,-120(s0)
40005650: 02ca8593 addi a1,s5,44
40005654: 854e mv a0,s3
40005656: 3a47a783 lw a5,932(a5)
4000565a: 9782 jalr a5
4000565c: e911 bnez a0,40005670 <r_GF_Jacobian_Point_Double256+0x102>
4000565e: f8842783 lw a5,-120(s0)
40005662: 058a8593 addi a1,s5,88
40005666: 8552 mv a0,s4
40005668: 3a47a783 lw a5,932(a5)
4000566c: 9782 jalr a5
4000566e: d939 beqz a0,400055c4 <r_GF_Jacobian_Point_Double256+0x56>
40005670: f8842783 lw a5,-120(s0)
40005674: 12f0 addi a2,sp,364
40005676: 85d2 mv a1,s4
40005678: 3547a783 lw a5,852(a5)
4000567c: 854a mv a0,s2
4000567e: 9782 jalr a5
40005680: f8842783 lw a5,-120(s0)
40005684: 0b30 addi a2,sp,408
40005686: 85d2 mv a1,s4
40005688: 3b07a783 lw a5,944(a5)
4000568c: 854a mv a0,s2
4000568e: 9782 jalr a5
40005690: f8842783 lw a5,-120(s0)
40005694: 03d0 addi a2,sp,452
40005696: 0b2c addi a1,sp,408
40005698: 39c7a783 lw a5,924(a5)
4000569c: 12e8 addi a0,sp,364
4000569e: 9782 jalr a5
400056a0: f8842783 lw a5,-120(s0)
400056a4: 0070 addi a2,sp,12
400056a6: 03c8 addi a0,sp,452
400056a8: 3987a783 lw a5,920(a5)
400056ac: 458d li a1,3
400056ae: 9782 jalr a5
400056b0: f8842783 lw a5,-120(s0)
400056b4: 1830 addi a2,sp,56
400056b6: 85d2 mv a1,s4
400056b8: 39c7a783 lw a5,924(a5)
400056bc: 854e mv a0,s3
400056be: 9782 jalr a5
400056c0: f8842783 lw a5,-120(s0)
400056c4: 03d0 addi a2,sp,452
400056c6: 182c addi a1,sp,56
400056c8: 39c7a783 lw a5,924(a5)
400056cc: 854e mv a0,s3
400056ce: 9782 jalr a5
400056d0: f8842783 lw a5,-120(s0)
400056d4: 10d0 addi a2,sp,100
400056d6: 03cc addi a1,sp,452
400056d8: 39c7a783 lw a5,924(a5)
400056dc: 854a mv a0,s2
400056de: 9782 jalr a5
400056e0: f8842783 lw a5,-120(s0)
400056e4: 006c addi a1,sp,12
400056e6: 0b30 addi a2,sp,408
400056e8: 39c7a783 lw a5,924(a5)
400056ec: 852e mv a0,a1
400056ee: 9782 jalr a5
400056f0: f8842783 lw a5,-120(s0)
400056f4: 03d0 addi a2,sp,452
400056f6: 10c8 addi a0,sp,100
400056f8: 3987a783 lw a5,920(a5)
400056fc: 45a1 li a1,8
400056fe: 9782 jalr a5
40005700: f8842783 lw a5,-120(s0)
40005704: 0910 addi a2,sp,144
40005706: 03cc addi a1,sp,452
40005708: 3b07a783 lw a5,944(a5)
4000570c: 0b28 addi a0,sp,408
4000570e: 9782 jalr a5
40005710: f8842783 lw a5,-120(s0)
40005714: 03d0 addi a2,sp,452
40005716: 182c addi a1,sp,56
40005718: 39c7a783 lw a5,924(a5)
4000571c: 0908 addi a0,sp,144
4000571e: 9782 jalr a5
40005720: f8842783 lw a5,-120(s0)
40005724: 8626 mv a2,s1
40005726: 03c8 addi a0,sp,452
40005728: 3987a783 lw a5,920(a5)
4000572c: 4589 li a1,2
4000572e: 9782 jalr a5
40005730: f8842783 lw a5,-120(s0)
40005734: 11b0 addi a2,sp,232
40005736: 85ce mv a1,s3
40005738: 39c7a783 lw a5,924(a5)
4000573c: 854e mv a0,s3
4000573e: 9782 jalr a5
40005740: f8842783 lw a5,-120(s0)
40005744: 182c addi a1,sp,56
40005746: 1970 addi a2,sp,188
40005748: 39c7a783 lw a5,924(a5)
4000574c: 852e mv a0,a1
4000574e: 9782 jalr a5
40005750: f8842783 lw a5,-120(s0)
40005754: 0a50 addi a2,sp,276
40005756: 196c addi a1,sp,188
40005758: 39c7a783 lw a5,924(a5)
4000575c: 11a8 addi a0,sp,232
4000575e: 9782 jalr a5
40005760: f8842783 lw a5,-120(s0)
40005764: 0290 addi a2,sp,320
40005766: 0a48 addi a0,sp,276
40005768: 3987a783 lw a5,920(a5)
4000576c: 45a1 li a1,8
4000576e: 9782 jalr a5
40005770: f8842783 lw a5,-120(s0)
40005774: 12f0 addi a2,sp,364
40005776: 10c8 addi a0,sp,100
40005778: 3987a783 lw a5,920(a5)
4000577c: 4591 li a1,4
4000577e: 9782 jalr a5
40005780: f8842783 lw a5,-120(s0)
40005784: 0b30 addi a2,sp,408
40005786: 090c addi a1,sp,144
40005788: 3b07a783 lw a5,944(a5)
4000578c: 12e8 addi a0,sp,364
4000578e: 9782 jalr a5
40005790: f8842783 lw a5,-120(s0)
40005794: 03d0 addi a2,sp,452
40005796: 0b2c addi a1,sp,408
40005798: 39c7a783 lw a5,924(a5)
4000579c: 0068 addi a0,sp,12
4000579e: 9782 jalr a5
400057a0: f8842783 lw a5,-120(s0)
400057a4: 8662 mv a2,s8
400057a6: 028c addi a1,sp,320
400057a8: 3b07a783 lw a5,944(a5)
400057ac: 03c8 addi a0,sp,452
400057ae: 9782 jalr a5
400057b0: f8842783 lw a5,-120(s0)
400057b4: 03d0 addi a2,sp,452
400057b6: 182c addi a1,sp,56
400057b8: 39c7a783 lw a5,924(a5)
400057bc: 1968 addi a0,sp,188
400057be: 9782 jalr a5
400057c0: f8842783 lw a5,-120(s0)
400057c4: 865e mv a2,s7
400057c6: 45a1 li a1,8
400057c8: 3987a783 lw a5,920(a5)
400057cc: 03c8 addi a0,sp,452
400057ce: 9782 jalr a5
400057d0: bd1d j 40005606 <r_GF_Jacobian_Point_Double256+0x98>
400057d2 <r_GF_Point_Jacobian_To_Affine256>:
400057d2: 715d addi sp,sp,-80
400057d4: c2a6 sw s1,68(sp)
400057d6: 3fce04b7 lui s1,0x3fce0
400057da: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400057de: c686 sw ra,76(sp)
400057e0: c4a2 sw s0,72(sp)
400057e2: 3607a783 lw a5,864(a5)
400057e6: c0ca sw s2,64(sp)
400057e8: de4e sw s3,60(sp)
400057ea: 842a mv s0,a0
400057ec: 89ae mv s3,a1
400057ee: 05850513 addi a0,a0,88
400057f2: 004c addi a1,sp,4
400057f4: 8932 mv s2,a2
400057f6: 9782 jalr a5
400057f8: f884a783 lw a5,-120(s1)
400057fc: 864e mv a2,s3
400057fe: 004c addi a1,sp,4
40005800: 39c7a783 lw a5,924(a5)
40005804: 8522 mv a0,s0
40005806: 9782 jalr a5
40005808: f884a783 lw a5,-120(s1)
4000580c: 864a mv a2,s2
4000580e: 004c addi a1,sp,4
40005810: 39c7a783 lw a5,924(a5)
40005814: 02c40513 addi a0,s0,44
40005818: 9782 jalr a5
4000581a: 40b6 lw ra,76(sp)
4000581c: 4426 lw s0,72(sp)
4000581e: 4496 lw s1,68(sp)
40005820: 4906 lw s2,64(sp)
40005822: 59f2 lw s3,60(sp)
40005824: 6161 addi sp,sp,80
40005826: 8082 ret
40005828 <r_bigHexInversion256>:
40005828: 7115 addi sp,sp,-224
4000582a: cf86 sw ra,220(sp)
4000582c: cda2 sw s0,216(sp)
4000582e: cba6 sw s1,212(sp)
40005830: c9ca sw s2,208(sp)
40005832: c7ce sw s3,204(sp)
40005834: c5d2 sw s4,200(sp)
40005836: c3d6 sw s5,196(sp)
40005838: c1da sw s6,192(sp)
4000583a: df5e sw s7,188(sp)
4000583c: 84aa mv s1,a0
4000583e: 02052423 sw zero,40(a0)
40005842: 02000613 li a2,32
40005846: 842e mv s0,a1
40005848: 08a8 addi a0,sp,88
4000584a: 4581 li a1,0
4000584c: 092530ef jal ra,400588de <memset>
40005850: 02200613 li a2,34
40005854: 4581 li a1,0
40005856: 0148 addi a0,sp,132
40005858: c102 sw zero,128(sp)
4000585a: 084530ef jal ra,400588de <memset>
4000585e: 85a6 mv a1,s1
40005860: 02200613 li a2,34
40005864: 850a mv a0,sp
40005866: d702 sw zero,172(sp)
40005868: 753520ef jal ra,400587ba <memcpy>
4000586c: 50dc lw a5,36(s1)
4000586e: 3ff1f4b7 lui s1,0x3ff1f
40005872: 02200613 li a2,34
40005876: 6e848593 addi a1,s1,1768 # 3ff1f6e8 <bigHexP256>
4000587a: 1068 addi a0,sp,44
4000587c: d23e sw a5,36(sp)
4000587e: d402 sw zero,40(sp)
40005880: 73b520ef jal ra,400587ba <memcpy>
40005884: 47c1 li a5,16
40005886: c8be sw a5,80(sp)
40005888: 4785 li a5,1
4000588a: 06f11c23 sh a5,120(sp)
4000588e: 4785 li a5,1
40005890: ca82 sw zero,84(sp)
40005892: debe sw a5,124(sp)
40005894: d53e sw a5,168(sp)
40005896: 02210993 addi s3,sp,34
4000589a: 3fce0937 lui s2,0x3fce0
4000589e: 07a10a13 addi s4,sp,122
400058a2: 04e10a93 addi s5,sp,78
400058a6: 5792 lw a5,36(sp)
400058a8: 18078563 beqz a5,40005a32 <r_bigHexInversion256+0x20a>
400058ac: 4b45 li s6,17
400058ae: a88d j 40005920 <r_bigHexInversion256+0xf8>
400058b0: 860a mv a2,sp
400058b2: 878a mv a5,sp
400058b4: 4701 li a4,0
400058b6: 0007d683 lhu a3,0(a5)
400058ba: 0742 slli a4,a4,0x10
400058bc: 0789 addi a5,a5,2
400058be: 9736 add a4,a4,a3
400058c0: 00175693 srli a3,a4,0x1
400058c4: fed79f23 sh a3,-2(a5)
400058c8: 8b05 andi a4,a4,1
400058ca: ff3796e3 bne a5,s3,400058b6 <r_bigHexInversion256+0x8e>
400058ce: 4781 li a5,0
400058d0: 00065703 lhu a4,0(a2)
400058d4: e709 bnez a4,400058de <r_bigHexInversion256+0xb6>
400058d6: 0785 addi a5,a5,1
400058d8: 0609 addi a2,a2,2
400058da: ff679be3 bne a5,s6,400058d0 <r_bigHexInversion256+0xa8>
400058de: 40fb07b3 sub a5,s6,a5
400058e2: d23e sw a5,36(sp)
400058e4: 07815783 lhu a5,120(sp)
400058e8: 8b85 andi a5,a5,1
400058ea: e3c1 bnez a5,4000596a <r_bigHexInversion256+0x142>
400058ec: 08b0 addi a2,sp,88
400058ee: 87b2 mv a5,a2
400058f0: 4701 li a4,0
400058f2: 0007d683 lhu a3,0(a5)
400058f6: 0742 slli a4,a4,0x10
400058f8: 0789 addi a5,a5,2
400058fa: 9736 add a4,a4,a3
400058fc: 00175693 srli a3,a4,0x1
40005900: fed79f23 sh a3,-2(a5)
40005904: 8b05 andi a4,a4,1
40005906: fefa16e3 bne s4,a5,400058f2 <r_bigHexInversion256+0xca>
4000590a: 4781 li a5,0
4000590c: 00065703 lhu a4,0(a2)
40005910: e709 bnez a4,4000591a <r_bigHexInversion256+0xf2>
40005912: 0785 addi a5,a5,1
40005914: 0609 addi a2,a2,2
40005916: ff679be3 bne a5,s6,4000590c <r_bigHexInversion256+0xe4>
4000591a: 40fb07b3 sub a5,s6,a5
4000591e: debe sw a5,124(sp)
40005920: 02015783 lhu a5,32(sp)
40005924: 8b85 andi a5,a5,1
40005926: d7c9 beqz a5,400058b0 <r_bigHexInversion256+0x88>
40005928: 4b45 li s6,17
4000592a: 0a610b93 addi s7,sp,166
4000592e: 04c15783 lhu a5,76(sp)
40005932: 8b85 andi a5,a5,1
40005934: c3b1 beqz a5,40005978 <r_bigHexInversion256+0x150>
40005936: 5712 lw a4,36(sp)
40005938: 47c6 lw a5,80(sp)
4000593a: 00e7e963 bltu a5,a4,4000594c <r_bigHexInversion256+0x124>
4000593e: 0cf76c63 bltu a4,a5,40005a16 <r_bigHexInversion256+0x1ee>
40005942: 47c5 li a5,17
40005944: 8f99 sub a5,a5,a4
40005946: 4641 li a2,16
40005948: 0af67863 bgeu a2,a5,400059f8 <r_bigHexInversion256+0x1d0>
4000594c: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
40005950: 106c addi a1,sp,44
40005952: 850a mv a0,sp
40005954: 3b87a783 lw a5,952(a5)
40005958: 9782 jalr a5
4000595a: f8892783 lw a5,-120(s2)
4000595e: 014c addi a1,sp,132
40005960: 08a8 addi a0,sp,88
40005962: 3bc7a783 lw a5,956(a5)
40005966: 9782 jalr a5
40005968: bf3d j 400058a6 <r_bigHexInversion256+0x7e>
4000596a: f8892783 lw a5,-120(s2)
4000596e: 08a8 addi a0,sp,88
40005970: 35c7a783 lw a5,860(a5)
40005974: 9782 jalr a5
40005976: b76d j 40005920 <r_bigHexInversion256+0xf8>
40005978: 1070 addi a2,sp,44
4000597a: 87b2 mv a5,a2
4000597c: 4701 li a4,0
4000597e: 0007d683 lhu a3,0(a5)
40005982: 0742 slli a4,a4,0x10
40005984: 0789 addi a5,a5,2
40005986: 9736 add a4,a4,a3
40005988: 00175693 srli a3,a4,0x1
4000598c: fed79f23 sh a3,-2(a5)
40005990: 8b05 andi a4,a4,1
40005992: fefa96e3 bne s5,a5,4000597e <r_bigHexInversion256+0x156>
40005996: 4781 li a5,0
40005998: 00065703 lhu a4,0(a2)
4000599c: e709 bnez a4,400059a6 <r_bigHexInversion256+0x17e>
4000599e: 0785 addi a5,a5,1
400059a0: 0609 addi a2,a2,2
400059a2: ff679be3 bne a5,s6,40005998 <r_bigHexInversion256+0x170>
400059a6: 40fb07b3 sub a5,s6,a5
400059aa: c8be sw a5,80(sp)
400059ac: 0a415783 lhu a5,164(sp)
400059b0: 8b85 andi a5,a5,1
400059b2: ef85 bnez a5,400059ea <r_bigHexInversion256+0x1c2>
400059b4: 0150 addi a2,sp,132
400059b6: 87b2 mv a5,a2
400059b8: 4701 li a4,0
400059ba: 0007d683 lhu a3,0(a5)
400059be: 0742 slli a4,a4,0x10
400059c0: 0789 addi a5,a5,2
400059c2: 9736 add a4,a4,a3
400059c4: 00175693 srli a3,a4,0x1
400059c8: fed79f23 sh a3,-2(a5)
400059cc: 8b05 andi a4,a4,1
400059ce: fefb96e3 bne s7,a5,400059ba <r_bigHexInversion256+0x192>
400059d2: 4781 li a5,0
400059d4: 00065703 lhu a4,0(a2)
400059d8: e709 bnez a4,400059e2 <r_bigHexInversion256+0x1ba>
400059da: 0785 addi a5,a5,1
400059dc: 0609 addi a2,a2,2
400059de: ff679be3 bne a5,s6,400059d4 <r_bigHexInversion256+0x1ac>
400059e2: 40fb07b3 sub a5,s6,a5
400059e6: d53e sw a5,168(sp)
400059e8: b799 j 4000592e <r_bigHexInversion256+0x106>
400059ea: f8892783 lw a5,-120(s2)
400059ee: 0148 addi a0,sp,132
400059f0: 35c7a783 lw a5,860(a5)
400059f4: 9782 jalr a5
400059f6: bf25 j 4000592e <r_bigHexInversion256+0x106>
400059f8: 00179713 slli a4,a5,0x1
400059fc: 1074 addi a3,sp,44
400059fe: 96ba add a3,a3,a4
40005a00: 970a add a4,a4,sp
40005a02: 0006d683 lhu a3,0(a3)
40005a06: 00075703 lhu a4,0(a4)
40005a0a: 00d76663 bltu a4,a3,40005a16 <r_bigHexInversion256+0x1ee>
40005a0e: f2e6efe3 bltu a3,a4,4000594c <r_bigHexInversion256+0x124>
40005a12: 0785 addi a5,a5,1
40005a14: bf15 j 40005948 <r_bigHexInversion256+0x120>
40005a16: f8892783 lw a5,-120(s2)
40005a1a: 858a mv a1,sp
40005a1c: 1068 addi a0,sp,44
40005a1e: 3b87a783 lw a5,952(a5)
40005a22: 9782 jalr a5
40005a24: f8892783 lw a5,-120(s2)
40005a28: 08ac addi a1,sp,88
40005a2a: 0148 addi a0,sp,132
40005a2c: 3bc7a783 lw a5,956(a5)
40005a30: bf1d j 40005966 <r_bigHexInversion256+0x13e>
40005a32: 57ba lw a5,172(sp)
40005a34: ef85 bnez a5,40005a6c <r_bigHexInversion256+0x244>
40005a36: 592a lw s2,168(sp)
40005a38: 47bd li a5,15
40005a3a: 0527fc63 bgeu a5,s2,40005a92 <r_bigHexInversion256+0x26a>
40005a3e: 47c1 li a5,16
40005a40: 02f91663 bne s2,a5,40005a6c <r_bigHexInversion256+0x244>
40005a44: 4789 li a5,2
40005a46: 6e848493 addi s1,s1,1768
40005a4a: 02200613 li a2,34
40005a4e: 0158 addi a4,sp,132
40005a50: 973e add a4,a4,a5
40005a52: 00075683 lhu a3,0(a4)
40005a56: 00f48733 add a4,s1,a5
40005a5a: 00075703 lhu a4,0(a4)
40005a5e: 00d76763 bltu a4,a3,40005a6c <r_bigHexInversion256+0x244>
40005a62: 02e6e863 bltu a3,a4,40005a92 <r_bigHexInversion256+0x26a>
40005a66: 0789 addi a5,a5,2
40005a68: fec793e3 bne a5,a2,40005a4e <r_bigHexInversion256+0x226>
40005a6c: 3fce07b7 lui a5,0x3fce0
40005a70: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005a74: 0148 addi a0,sp,132
40005a76: 3a87a783 lw a5,936(a5)
40005a7a: 9782 jalr a5
40005a7c: 02200613 li a2,34
40005a80: 014c addi a1,sp,132
40005a82: 8522 mv a0,s0
40005a84: 537520ef jal ra,400587ba <memcpy>
40005a88: 57aa lw a5,168(sp)
40005a8a: d05c sw a5,36(s0)
40005a8c: 57ba lw a5,172(sp)
40005a8e: d41c sw a5,40(s0)
40005a90: a819 j 40005aa6 <r_bigHexInversion256+0x27e>
40005a92: 02200613 li a2,34
40005a96: 014c addi a1,sp,132
40005a98: 8522 mv a0,s0
40005a9a: 521520ef jal ra,400587ba <memcpy>
40005a9e: 03242223 sw s2,36(s0)
40005aa2: 02042423 sw zero,40(s0)
40005aa6: 40fe lw ra,220(sp)
40005aa8: 446e lw s0,216(sp)
40005aaa: 44de lw s1,212(sp)
40005aac: 494e lw s2,208(sp)
40005aae: 49be lw s3,204(sp)
40005ab0: 4a2e lw s4,200(sp)
40005ab2: 4a9e lw s5,196(sp)
40005ab4: 4b0e lw s6,192(sp)
40005ab6: 5bfa lw s7,188(sp)
40005ab8: 612d addi sp,sp,224
40005aba: 8082 ret
40005abc <r_ecc_point_multiplication_win_256>:
40005abc: 7169 addi sp,sp,-304
40005abe: 12812423 sw s0,296(sp)
40005ac2: 12112623 sw ra,300(sp)
40005ac6: 12912223 sw s1,292(sp)
40005aca: 13212023 sw s2,288(sp)
40005ace: 11312e23 sw s3,284(sp)
40005ad2: 11412c23 sw s4,280(sp)
40005ad6: 11512a23 sw s5,276(sp)
40005ada: 14454903 lbu s2,324(a0)
40005ade: 4705 li a4,1
40005ae0: 842a mv s0,a0
40005ae2: fff90793 addi a5,s2,-1
40005ae6: 0ff7f793 andi a5,a5,255
40005aea: 14255583 lhu a1,322(a0)
40005aee: 1ef76263 bltu a4,a5,40005cd2 <r_ecc_point_multiplication_win_256+0x216>
40005af2: 1ae90963 beq s2,a4,40005ca4 <r_ecc_point_multiplication_win_256+0x1e8>
40005af6: 14852903 lw s2,328(a0)
40005afa: 07f00693 li a3,127
40005afe: 4541 li a0,16
40005b00: 8e8d sub a3,a3,a1
40005b02: 02a6c6b3 div a3,a3,a0
40005b06: 03f00793 li a5,63
40005b0a: 8f8d sub a5,a5,a1
40005b0c: 00f5f613 andi a2,a1,15
40005b10: 00840493 addi s1,s0,8
40005b14: 02a7c7b3 div a5,a5,a0
40005b18: 08968693 addi a3,a3,137
40005b1c: 0686 slli a3,a3,0x1
40005b1e: 96a2 add a3,a3,s0
40005b20: 0006d703 lhu a4,0(a3)
40005b24: 0bf00693 li a3,191
40005b28: 8e8d sub a3,a3,a1
40005b2a: 40c75733 sra a4,a4,a2
40005b2e: 8b05 andi a4,a4,1
40005b30: 02a6c6b3 div a3,a3,a0
40005b34: 08978793 addi a5,a5,137
40005b38: 0786 slli a5,a5,0x1
40005b3a: 97a2 add a5,a5,s0
40005b3c: 0007d783 lhu a5,0(a5)
40005b40: 40c7d7b3 sra a5,a5,a2
40005b44: 8b85 andi a5,a5,1
40005b46: 0786 slli a5,a5,0x1
40005b48: 97ba add a5,a5,a4
40005b4a: 0786 slli a5,a5,0x1
40005b4c: 08968693 addi a3,a3,137
40005b50: 0686 slli a3,a3,0x1
40005b52: 96a2 add a3,a3,s0
40005b54: 0006d703 lhu a4,0(a3)
40005b58: 40c75733 sra a4,a4,a2
40005b5c: 8b05 andi a4,a4,1
40005b5e: 97ba add a5,a5,a4
40005b60: 0ff00713 li a4,255
40005b64: 8f0d sub a4,a4,a1
40005b66: 02a74733 div a4,a4,a0
40005b6a: 0786 slli a5,a5,0x1
40005b6c: 08970713 addi a4,a4,137
40005b70: 0706 slli a4,a4,0x1
40005b72: 9722 add a4,a4,s0
40005b74: 00075703 lhu a4,0(a4)
40005b78: 40c75633 sra a2,a4,a2
40005b7c: 8a05 andi a2,a2,1
40005b7e: 97b2 add a5,a5,a2
40005b80: cfc5 beqz a5,40005c38 <r_ecc_point_multiplication_win_256+0x17c>
40005b82: 08400713 li a4,132
40005b86: 02e787b3 mul a5,a5,a4
40005b8a: 02200613 li a2,34
40005b8e: 0028 addi a0,sp,8
40005b90: f7c78793 addi a5,a5,-132
40005b94: 993e add s2,s2,a5
40005b96: 85ca mv a1,s2
40005b98: 423520ef jal ra,400587ba <memcpy>
40005b9c: 02492783 lw a5,36(s2)
40005ba0: 02200613 li a2,34
40005ba4: 02c90593 addi a1,s2,44
40005ba8: d63e sw a5,44(sp)
40005baa: 02892783 lw a5,40(s2)
40005bae: 1848 addi a0,sp,52
40005bb0: d83e sw a5,48(sp)
40005bb2: 409520ef jal ra,400587ba <memcpy>
40005bb6: 05092783 lw a5,80(s2)
40005bba: 02200613 li a2,34
40005bbe: 05890593 addi a1,s2,88
40005bc2: ccbe sw a5,88(sp)
40005bc4: 05492783 lw a5,84(s2)
40005bc8: 1088 addi a0,sp,96
40005bca: cebe sw a5,92(sp)
40005bcc: 3ef520ef jal ra,400587ba <memcpy>
40005bd0: 07c92783 lw a5,124(s2)
40005bd4: 0170 addi a2,sp,140
40005bd6: 85a6 mv a1,s1
40005bd8: c33e sw a5,132(sp)
40005bda: 08092783 lw a5,128(s2)
40005bde: 0028 addi a0,sp,8
40005be0: c53e sw a5,136(sp)
40005be2: 3fce07b7 lui a5,0x3fce0
40005be6: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005bea: 3887a783 lw a5,904(a5)
40005bee: 9782 jalr a5
40005bf0: 02200613 li a2,34
40005bf4: 016c addi a1,sp,140
40005bf6: 8526 mv a0,s1
40005bf8: 3c3520ef jal ra,400587ba <memcpy>
40005bfc: 57ca lw a5,176(sp)
40005bfe: 02200613 li a2,34
40005c02: 192c addi a1,sp,184
40005c04: d45c sw a5,44(s0)
40005c06: 57da lw a5,180(sp)
40005c08: 03440513 addi a0,s0,52
40005c0c: d81c sw a5,48(s0)
40005c0e: 3ad520ef jal ra,400587ba <memcpy>
40005c12: 47fe lw a5,220(sp)
40005c14: 02200613 li a2,34
40005c18: 11cc addi a1,sp,228
40005c1a: cc3c sw a5,88(s0)
40005c1c: 578e lw a5,224(sp)
40005c1e: 06040513 addi a0,s0,96
40005c22: cc7c sw a5,92(s0)
40005c24: 397520ef jal ra,400587ba <memcpy>
40005c28: 10812783 lw a5,264(sp)
40005c2c: 08f42223 sw a5,132(s0)
40005c30: 10c12783 lw a5,268(sp)
40005c34: 08f42423 sw a5,136(s0)
40005c38: 14245783 lhu a5,322(s0)
40005c3c: cbad beqz a5,40005cae <r_ecc_point_multiplication_win_256+0x1f2>
40005c3e: 3fce07b7 lui a5,0x3fce0
40005c42: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005c46: 016c addi a1,sp,140
40005c48: 8526 mv a0,s1
40005c4a: 38c7a783 lw a5,908(a5)
40005c4e: 9782 jalr a5
40005c50: 02200613 li a2,34
40005c54: 016c addi a1,sp,140
40005c56: 8526 mv a0,s1
40005c58: 363520ef jal ra,400587ba <memcpy>
40005c5c: 57ca lw a5,176(sp)
40005c5e: 02200613 li a2,34
40005c62: 192c addi a1,sp,184
40005c64: d45c sw a5,44(s0)
40005c66: 57da lw a5,180(sp)
40005c68: 03440513 addi a0,s0,52
40005c6c: d81c sw a5,48(s0)
40005c6e: 34d520ef jal ra,400587ba <memcpy>
40005c72: 47fe lw a5,220(sp)
40005c74: 02200613 li a2,34
40005c78: 11cc addi a1,sp,228
40005c7a: cc3c sw a5,88(s0)
40005c7c: 578e lw a5,224(sp)
40005c7e: 06040513 addi a0,s0,96
40005c82: cc7c sw a5,92(s0)
40005c84: 337520ef jal ra,400587ba <memcpy>
40005c88: 10812783 lw a5,264(sp)
40005c8c: 08f42223 sw a5,132(s0)
40005c90: 10c12783 lw a5,268(sp)
40005c94: 08f42423 sw a5,136(s0)
40005c98: 14245783 lhu a5,322(s0)
40005c9c: 17fd addi a5,a5,-1
40005c9e: 14f41123 sh a5,322(s0)
40005ca2: a801 j 40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005ca4: 3ff1f937 lui s2,0x3ff1f
40005ca8: 7a090913 addi s2,s2,1952 # 3ff1f7a0 <ECC_4Win_Look_up_table>
40005cac: b5b9 j 40005afa <r_ecc_point_multiplication_win_256+0x3e>
40005cae: 00042223 sw zero,4(s0)
40005cb2: 12c12083 lw ra,300(sp)
40005cb6: 12812403 lw s0,296(sp)
40005cba: 12412483 lw s1,292(sp)
40005cbe: 12012903 lw s2,288(sp)
40005cc2: 11c12983 lw s3,284(sp)
40005cc6: 11812a03 lw s4,280(sp)
40005cca: 11412a83 lw s5,276(sp)
40005cce: 6155 addi sp,sp,304
40005cd0: 8082 ret
40005cd2: 478d li a5,3
40005cd4: 1af91d63 bne s2,a5,40005e8e <r_ecc_point_multiplication_win_256+0x3d2>
40005cd8: 3fce07b7 lui a5,0x3fce0
40005cdc: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005ce0: 08c50993 addi s3,a0,140
40005ce4: 002c addi a1,sp,8
40005ce6: 38c7a783 lw a5,908(a5)
40005cea: 854e mv a0,s3
40005cec: 0b840a93 addi s5,s0,184
40005cf0: 9782 jalr a5
40005cf2: 02200613 li a2,34
40005cf6: 002c addi a1,sp,8
40005cf8: 854e mv a0,s3
40005cfa: 2c1520ef jal ra,400587ba <memcpy>
40005cfe: 57b2 lw a5,44(sp)
40005d00: 02200613 li a2,34
40005d04: 184c addi a1,sp,52
40005d06: 0af42823 sw a5,176(s0)
40005d0a: 57c2 lw a5,48(sp)
40005d0c: 8556 mv a0,s5
40005d0e: 0e440a13 addi s4,s0,228
40005d12: 0af42a23 sw a5,180(s0)
40005d16: 2a5520ef jal ra,400587ba <memcpy>
40005d1a: 47e6 lw a5,88(sp)
40005d1c: 02200613 li a2,34
40005d20: 108c addi a1,sp,96
40005d22: 0cf42e23 sw a5,220(s0)
40005d26: 47f6 lw a5,92(sp)
40005d28: 8552 mv a0,s4
40005d2a: 0ef42023 sw a5,224(s0)
40005d2e: 28d520ef jal ra,400587ba <memcpy>
40005d32: 479a lw a5,132(sp)
40005d34: 04000713 li a4,64
40005d38: 10f42423 sw a5,264(s0)
40005d3c: 47aa lw a5,136(sp)
40005d3e: 10f42623 sw a5,268(s0)
40005d42: 14245783 lhu a5,322(s0)
40005d46: 0785 addi a5,a5,1
40005d48: 07c2 slli a5,a5,0x10
40005d4a: 83c1 srli a5,a5,0x10
40005d4c: 14f41123 sh a5,322(s0)
40005d50: 06e79263 bne a5,a4,40005db4 <r_ecc_point_multiplication_win_256+0x2f8>
40005d54: 14842483 lw s1,328(s0)
40005d58: 02200613 li a2,34
40005d5c: 85ce mv a1,s3
40005d5e: 08448513 addi a0,s1,132
40005d62: 259520ef jal ra,400587ba <memcpy>
40005d66: 0b042783 lw a5,176(s0)
40005d6a: 02200613 li a2,34
40005d6e: 85d6 mv a1,s5
40005d70: 0af4a423 sw a5,168(s1)
40005d74: 0b442783 lw a5,180(s0)
40005d78: 0b048513 addi a0,s1,176
40005d7c: 0af4a623 sw a5,172(s1)
40005d80: 23b520ef jal ra,400587ba <memcpy>
40005d84: 0dc42783 lw a5,220(s0)
40005d88: 02200613 li a2,34
40005d8c: 85d2 mv a1,s4
40005d8e: 0cf4aa23 sw a5,212(s1)
40005d92: 0e042783 lw a5,224(s0)
40005d96: 0dc48513 addi a0,s1,220
40005d9a: 0cf4ac23 sw a5,216(s1)
40005d9e: 21d520ef jal ra,400587ba <memcpy>
40005da2: 10842783 lw a5,264(s0)
40005da6: 10f4a023 sw a5,256(s1)
40005daa: 10c42783 lw a5,268(s0)
40005dae: 10f4a223 sw a5,260(s1)
40005db2: b701 j 40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005db4: 08000713 li a4,128
40005db8: 06e79263 bne a5,a4,40005e1c <r_ecc_point_multiplication_win_256+0x360>
40005dbc: 14842483 lw s1,328(s0)
40005dc0: 02200613 li a2,34
40005dc4: 85ce mv a1,s3
40005dc6: 18c48513 addi a0,s1,396
40005dca: 1f1520ef jal ra,400587ba <memcpy>
40005dce: 0b042783 lw a5,176(s0)
40005dd2: 02200613 li a2,34
40005dd6: 85d6 mv a1,s5
40005dd8: 1af4a823 sw a5,432(s1)
40005ddc: 0b442783 lw a5,180(s0)
40005de0: 1b848513 addi a0,s1,440
40005de4: 1af4aa23 sw a5,436(s1)
40005de8: 1d3520ef jal ra,400587ba <memcpy>
40005dec: 0dc42783 lw a5,220(s0)
40005df0: 02200613 li a2,34
40005df4: 85d2 mv a1,s4
40005df6: 1cf4ae23 sw a5,476(s1)
40005dfa: 0e042783 lw a5,224(s0)
40005dfe: 1e448513 addi a0,s1,484
40005e02: 1ef4a023 sw a5,480(s1)
40005e06: 1b5520ef jal ra,400587ba <memcpy>
40005e0a: 10842783 lw a5,264(s0)
40005e0e: 20f4a423 sw a5,520(s1)
40005e12: 10c42783 lw a5,268(s0)
40005e16: 20f4a623 sw a5,524(s1)
40005e1a: bd61 j 40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005e1c: 0c000713 li a4,192
40005e20: e8e799e3 bne a5,a4,40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005e24: 14842483 lw s1,328(s0)
40005e28: 02200613 li a2,34
40005e2c: 85ce mv a1,s3
40005e2e: 39c48513 addi a0,s1,924
40005e32: 189520ef jal ra,400587ba <memcpy>
40005e36: 0b042783 lw a5,176(s0)
40005e3a: 02200613 li a2,34
40005e3e: 85d6 mv a1,s5
40005e40: 3cf4a023 sw a5,960(s1)
40005e44: 0b442783 lw a5,180(s0)
40005e48: 3c848513 addi a0,s1,968
40005e4c: 3cf4a223 sw a5,964(s1)
40005e50: 16b520ef jal ra,400587ba <memcpy>
40005e54: 0dc42783 lw a5,220(s0)
40005e58: 02200613 li a2,34
40005e5c: 85d2 mv a1,s4
40005e5e: 3ef4a623 sw a5,1004(s1)
40005e62: 0e042783 lw a5,224(s0)
40005e66: 3f448513 addi a0,s1,1012
40005e6a: 3ef4a823 sw a5,1008(s1)
40005e6e: 14d520ef jal ra,400587ba <memcpy>
40005e72: 10842783 lw a5,264(s0)
40005e76: 40f4ac23 sw a5,1048(s1)
40005e7a: 10c42783 lw a5,268(s0)
40005e7e: 40f4ae23 sw a5,1052(s1)
40005e82: 4791 li a5,4
40005e84: 14f40223 sb a5,324(s0)
40005e88: 15241123 sh s2,322(s0)
40005e8c: b51d j 40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005e8e: 4711 li a4,4
40005e90: e2e911e3 bne s2,a4,40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005e94: 02f59163 bne a1,a5,40005eb6 <r_ecc_point_multiplication_win_256+0x3fa>
40005e98: 3fce07b7 lui a5,0x3fce0
40005e9c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005ea0: 14852503 lw a0,328(a0)
40005ea4: 3887a783 lw a5,904(a5)
40005ea8: 10850613 addi a2,a0,264
40005eac: 08450593 addi a1,a0,132
40005eb0: 9782 jalr a5
40005eb2: 4795 li a5,5
40005eb4: b3ed j 40005c9e <r_ecc_point_multiplication_win_256+0x1e2>
40005eb6: 4795 li a5,5
40005eb8: 02f59163 bne a1,a5,40005eda <r_ecc_point_multiplication_win_256+0x41e>
40005ebc: 3fce07b7 lui a5,0x3fce0
40005ec0: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005ec4: 14852503 lw a0,328(a0)
40005ec8: 3887a783 lw a5,904(a5)
40005ecc: 21050613 addi a2,a0,528
40005ed0: 18c50593 addi a1,a0,396
40005ed4: 9782 jalr a5
40005ed6: 4799 li a5,6
40005ed8: b3d9 j 40005c9e <r_ecc_point_multiplication_win_256+0x1e2>
40005eda: 4719 li a4,6
40005edc: 02e59363 bne a1,a4,40005f02 <r_ecc_point_multiplication_win_256+0x446>
40005ee0: 3fce07b7 lui a5,0x3fce0
40005ee4: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005ee8: 14852503 lw a0,328(a0)
40005eec: 3887a783 lw a5,904(a5)
40005ef0: 29450613 addi a2,a0,660
40005ef4: 18c50593 addi a1,a0,396
40005ef8: 08450513 addi a0,a0,132
40005efc: 9782 jalr a5
40005efe: 479d li a5,7
40005f00: bb79 j 40005c9e <r_ecc_point_multiplication_win_256+0x1e2>
40005f02: 479d li a5,7
40005f04: 02f59163 bne a1,a5,40005f26 <r_ecc_point_multiplication_win_256+0x46a>
40005f08: 3fce07b7 lui a5,0x3fce0
40005f0c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005f10: 14852503 lw a0,328(a0)
40005f14: 3887a783 lw a5,904(a5)
40005f18: 31850613 addi a2,a0,792
40005f1c: 29450593 addi a1,a0,660
40005f20: 9782 jalr a5
40005f22: 47a5 li a5,9
40005f24: bbad j 40005c9e <r_ecc_point_multiplication_win_256+0x1e2>
40005f26: ff758793 addi a5,a1,-9
40005f2a: 07c2 slli a5,a5,0x10
40005f2c: 83c1 srli a5,a5,0x10
40005f2e: d8f762e3 bltu a4,a5,40005cb2 <r_ecc_point_multiplication_win_256+0x1f6>
40005f32: 08400793 li a5,132
40005f36: 02f585b3 mul a1,a1,a5
40005f3a: 3fce07b7 lui a5,0x3fce0
40005f3e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40005f42: 14852503 lw a0,328(a0)
40005f46: 3887a783 lw a5,904(a5)
40005f4a: f7c58613 addi a2,a1,-132
40005f4e: b5c58593 addi a1,a1,-1188
40005f52: 962a add a2,a2,a0
40005f54: 95aa add a1,a1,a0
40005f56: 39c50513 addi a0,a0,924
40005f5a: 9782 jalr a5
40005f5c: 14245783 lhu a5,322(s0)
40005f60: 473d li a4,15
40005f62: 00e79863 bne a5,a4,40005f72 <r_ecc_point_multiplication_win_256+0x4b6>
40005f66: 4789 li a5,2
40005f68: 14f40223 sb a5,324(s0)
40005f6c: 03f00793 li a5,63
40005f70: b33d j 40005c9e <r_ecc_point_multiplication_win_256+0x1e2>
40005f72: 0785 addi a5,a5,1
40005f74: b32d j 40005c9e <r_ecc_point_multiplication_win_256+0x1e2>
40005f76 <r_ecc_is_valid_point>:
40005f76: 714d addi sp,sp,-336
40005f78: 14912223 sw s1,324(sp)
40005f7c: 15212023 sw s2,320(sp)
40005f80: 84aa mv s1,a0
40005f82: 892e mv s2,a1
40005f84: 02200613 li a2,34
40005f88: 4581 li a1,0
40005f8a: 0068 addi a0,sp,12
40005f8c: 14112623 sw ra,332(sp)
40005f90: 14812423 sw s0,328(sp)
40005f94: 14b520ef jal ra,400588de <memset>
40005f98: 02200613 li a2,34
40005f9c: 4581 li a1,0
40005f9e: 1828 addi a0,sp,56
40005fa0: d802 sw zero,48(sp)
40005fa2: da02 sw zero,52(sp)
40005fa4: 13b520ef jal ra,400588de <memset>
40005fa8: 02200613 li a2,34
40005fac: 4581 li a1,0
40005fae: 10c8 addi a0,sp,100
40005fb0: ce82 sw zero,92(sp)
40005fb2: d082 sw zero,96(sp)
40005fb4: 12b520ef jal ra,400588de <memset>
40005fb8: 02200613 li a2,34
40005fbc: 4581 li a1,0
40005fbe: 0908 addi a0,sp,144
40005fc0: c502 sw zero,136(sp)
40005fc2: c702 sw zero,140(sp)
40005fc4: 11b520ef jal ra,400588de <memset>
40005fc8: 02200613 li a2,34
40005fcc: 4581 li a1,0
40005fce: 1968 addi a0,sp,188
40005fd0: db02 sw zero,180(sp)
40005fd2: dd02 sw zero,184(sp)
40005fd4: 10b520ef jal ra,400588de <memset>
40005fd8: 02200613 li a2,34
40005fdc: 4581 li a1,0
40005fde: 11a8 addi a0,sp,232
40005fe0: d182 sw zero,224(sp)
40005fe2: d382 sw zero,228(sp)
40005fe4: 0fb520ef jal ra,400588de <memset>
40005fe8: 02200613 li a2,34
40005fec: 4581 li a1,0
40005fee: 0a48 addi a0,sp,276
40005ff0: 3fce0437 lui s0,0x3fce0
40005ff4: 10012623 sw zero,268(sp)
40005ff8: 10012823 sw zero,272(sp)
40005ffc: 0e3520ef jal ra,400588de <memset>
40006000: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40006004: 0070 addi a2,sp,12
40006006: 85ca mv a1,s2
40006008: 39c7a783 lw a5,924(a5)
4000600c: 854a mv a0,s2
4000600e: 12012c23 sw zero,312(sp)
40006012: 12012e23 sw zero,316(sp)
40006016: 9782 jalr a5
40006018: f8842783 lw a5,-120(s0)
4000601c: 1830 addi a2,sp,56
4000601e: 85a6 mv a1,s1
40006020: 39c7a783 lw a5,924(a5)
40006024: 8526 mv a0,s1
40006026: 9782 jalr a5
40006028: f8842783 lw a5,-120(s0)
4000602c: 10d0 addi a2,sp,100
4000602e: 182c addi a1,sp,56
40006030: 39c7a783 lw a5,924(a5)
40006034: 8526 mv a0,s1
40006036: 9782 jalr a5
40006038: f8842783 lw a5,-120(s0)
4000603c: 0910 addi a2,sp,144
4000603e: 85a6 mv a1,s1
40006040: 3547a783 lw a5,852(a5)
40006044: 8526 mv a0,s1
40006046: 9782 jalr a5
40006048: f8842783 lw a5,-120(s0)
4000604c: 1970 addi a2,sp,188
4000604e: 85a6 mv a1,s1
40006050: 3547a783 lw a5,852(a5)
40006054: 0908 addi a0,sp,144
40006056: 9782 jalr a5
40006058: f8842783 lw a5,-120(s0)
4000605c: 11b0 addi a2,sp,232
4000605e: 196c addi a1,sp,188
40006060: 3b07a783 lw a5,944(a5)
40006064: 10c8 addi a0,sp,100
40006066: 9782 jalr a5
40006068: f8842783 lw a5,-120(s0)
4000606c: 3ff1f5b7 lui a1,0x3ff1f
40006070: 0a50 addi a2,sp,276
40006072: 3547a783 lw a5,852(a5)
40006076: 11a8 addi a0,sp,232
40006078: 67058593 addi a1,a1,1648 # 3ff1f670 <coef_B>
4000607c: 9782 jalr a5
4000607e: 0a4c addi a1,sp,276
40006080: 0068 addi a0,sp,12
40006082: 02200613 li a2,34
40006086: 6ec520ef jal ra,40058772 <memcmp>
4000608a: 14c12083 lw ra,332(sp)
4000608e: 14812403 lw s0,328(sp)
40006092: 14412483 lw s1,324(sp)
40006096: 14012903 lw s2,320(sp)
4000609a: 00153513 seqz a0,a0
4000609e: 6171 addi sp,sp,336
400060a0: 8082 ret
400060a2 <r_ecc_multiplication_event_handler>:
400060a2: 7119 addi sp,sp,-128
400060a4: dca2 sw s0,120(sp)
400060a6: 3fce0437 lui s0,0x3fce0
400060aa: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
400060ae: d6ce sw s3,108(sp)
400060b0: de86 sw ra,124(sp)
400060b2: 0ec7a783 lw a5,236(a5)
400060b6: daa6 sw s1,116(sp)
400060b8: d8ca sw s2,112(sp)
400060ba: 4505 li a0,1
400060bc: 9782 jalr a5
400060be: f8842783 lw a5,-120(s0)
400060c2: 3fce09b7 lui s3,0x3fce0
400060c6: f0c98513 addi a0,s3,-244 # 3fcdff0c <ecc_env>
400060ca: 43bc lw a5,64(a5)
400060cc: 9782 jalr a5
400060ce: 10050563 beqz a0,400061d8 <r_ecc_multiplication_event_handler+0x136>
400060d2: 415c lw a5,4(a0)
400060d4: 84aa mv s1,a0
400060d6: cf8d beqz a5,40006110 <r_ecc_multiplication_event_handler+0x6e>
400060d8: f8842783 lw a5,-120(s0)
400060dc: 3847a783 lw a5,900(a5)
400060e0: 9782 jalr a5
400060e2: f8842783 lw a5,-120(s0)
400060e6: 85a6 mv a1,s1
400060e8: f0c98513 addi a0,s3,-244
400060ec: 43fc lw a5,68(a5)
400060ee: 9782 jalr a5
400060f0: f0c9a783 lw a5,-244(s3)
400060f4: c799 beqz a5,40006102 <r_ecc_multiplication_event_handler+0x60>
400060f6: f8842783 lw a5,-120(s0)
400060fa: 4505 li a0,1
400060fc: 1087a783 lw a5,264(a5)
40006100: 9782 jalr a5
40006102: 50f6 lw ra,124(sp)
40006104: 5466 lw s0,120(sp)
40006106: 54d6 lw s1,116(sp)
40006108: 5946 lw s2,112(sp)
4000610a: 59b6 lw s3,108(sp)
4000610c: 6109 addi sp,sp,128
4000610e: 8082 ret
40006110: 13e55583 lhu a1,318(a0)
40006114: 0ff00793 li a5,255
40006118: fcf58ce3 beq a1,a5,400060f0 <r_ecc_multiplication_event_handler+0x4e>
4000611c: f8842783 lw a5,-120(s0)
40006120: 13c55503 lhu a0,316(a0)
40006124: 04000693 li a3,64
40006128: 0c87a783 lw a5,200(a5)
4000612c: 0ff00613 li a2,255
40006130: 9782 jalr a5
40006132: 02200613 li a2,34
40006136: 4581 li a1,0
40006138: 892a mv s2,a0
4000613a: 0028 addi a0,sp,8
4000613c: 7a2520ef jal ra,400588de <memset>
40006140: 02200613 li a2,34
40006144: 4581 li a1,0
40006146: 1848 addi a0,sp,52
40006148: d602 sw zero,44(sp)
4000614a: d802 sw zero,48(sp)
4000614c: 792520ef jal ra,400588de <memset>
40006150: f8842783 lw a5,-120(s0)
40006154: 00848513 addi a0,s1,8
40006158: cc82 sw zero,88(sp)
4000615a: 3907a783 lw a5,912(a5)
4000615e: ce82 sw zero,92(sp)
40006160: 1850 addi a2,sp,52
40006162: 002c addi a1,sp,8
40006164: 9782 jalr a5
40006166: 1484a503 lw a0,328(s1)
4000616a: c511 beqz a0,40006176 <r_ecc_multiplication_event_handler+0xd4>
4000616c: f8842783 lw a5,-120(s0)
40006170: 1107a783 lw a5,272(a5)
40006174: 9782 jalr a5
40006176: f8842783 lw a5,-120(s0)
4000617a: 8526 mv a0,s1
4000617c: 1107a783 lw a5,272(a5)
40006180: 9782 jalr a5
40006182: 00a10713 addi a4,sp,10
40006186: 01e90793 addi a5,s2,30
4000618a: 00075683 lhu a3,0(a4)
4000618e: 0709 addi a4,a4,2
40006190: 0086d613 srli a2,a3,0x8
40006194: 00d78023 sb a3,0(a5)
40006198: 00c780a3 sb a2,1(a5)
4000619c: ffe78693 addi a3,a5,-2
400061a0: 02f91a63 bne s2,a5,400061d4 <r_ecc_multiplication_event_handler+0x132>
400061a4: 003c addi a5,sp,8
400061a6: 03e90713 addi a4,s2,62
400061aa: 01e90613 addi a2,s2,30
400061ae: 02e7d683 lhu a3,46(a5)
400061b2: 1779 addi a4,a4,-2
400061b4: 0789 addi a5,a5,2
400061b6: 0086d593 srli a1,a3,0x8
400061ba: 00b701a3 sb a1,3(a4)
400061be: 00d70123 sb a3,2(a4)
400061c2: fec716e3 bne a4,a2,400061ae <r_ecc_multiplication_event_handler+0x10c>
400061c6: f8842783 lw a5,-120(s0)
400061ca: 854a mv a0,s2
400061cc: 0e07a783 lw a5,224(a5)
400061d0: 9782 jalr a5
400061d2: bf39 j 400060f0 <r_ecc_multiplication_event_handler+0x4e>
400061d4: 87b6 mv a5,a3
400061d6: bf55 j 4000618a <r_ecc_multiplication_event_handler+0xe8>
400061d8: 3fce07b7 lui a5,0x3fce0
400061dc: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400061e0: 6605 lui a2,0x1
400061e2: 3ff1a5b7 lui a1,0x3ff1a
400061e6: 479c lw a5,8(a5)
400061e8: 96560613 addi a2,a2,-1691 # 965 <RvExcFrameSize+0x8d5>
400061ec: 48058593 addi a1,a1,1152 # 3ff1a480 <CSWTCH.72+0x154>
400061f0: 9782 jalr a5
400061f2: bdfd j 400060f0 <r_ecc_multiplication_event_handler+0x4e>
400061f4 <r_ecc_init>:
400061f4: 4785 li a5,1
400061f6: 08f50963 beq a0,a5,40006288 <r_ecc_init+0x94>
400061fa: c509 beqz a0,40006204 <r_ecc_init+0x10>
400061fc: 4789 li a5,2
400061fe: 00f50d63 beq a0,a5,40006218 <r_ecc_init+0x24>
40006202: 8082 ret
40006204: 3fce07b7 lui a5,0x3fce0
40006208: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000620c: 4505 li a0,1
4000620e: 1007a303 lw t1,256(a5)
40006212: 3807a583 lw a1,896(a5)
40006216: 8302 jr t1
40006218: 1141 addi sp,sp,-16
4000621a: c422 sw s0,8(sp)
4000621c: 3fce0437 lui s0,0x3fce0
40006220: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40006224: c226 sw s1,4(sp)
40006226: c606 sw ra,12(sp)
40006228: 0ec7a783 lw a5,236(a5)
4000622c: c04a sw s2,0(sp)
4000622e: 4505 li a0,1
40006230: 9782 jalr a5
40006232: 3fce04b7 lui s1,0x3fce0
40006236: f0c4a783 lw a5,-244(s1) # 3fcdff0c <ecc_env>
4000623a: f8842703 lw a4,-120(s0)
4000623e: e38d bnez a5,40006260 <r_ecc_init+0x6c>
40006240: 3fce07b7 lui a5,0x3fce0
40006244: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40006248: 4422 lw s0,8(sp)
4000624a: 3fce0537 lui a0,0x3fce0
4000624e: 40b2 lw ra,12(sp)
40006250: 4492 lw s1,4(sp)
40006252: 4902 lw s2,0(sp)
40006254: 02c7a303 lw t1,44(a5)
40006258: f0c50513 addi a0,a0,-244 # 3fcdff0c <ecc_env>
4000625c: 0141 addi sp,sp,16
4000625e: 8302 jr t1
40006260: 433c lw a5,64(a4)
40006262: f0c48513 addi a0,s1,-244
40006266: 9782 jalr a5
40006268: 892a mv s2,a0
4000626a: 14852503 lw a0,328(a0)
4000626e: c511 beqz a0,4000627a <r_ecc_init+0x86>
40006270: f8842783 lw a5,-120(s0)
40006274: 1107a783 lw a5,272(a5)
40006278: 9782 jalr a5
4000627a: f8842783 lw a5,-120(s0)
4000627e: 854a mv a0,s2
40006280: 1107a783 lw a5,272(a5)
40006284: 9782 jalr a5
40006286: bf45 j 40006236 <r_ecc_init+0x42>
40006288: 3fce07b7 lui a5,0x3fce0
4000628c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40006290: 3fce0537 lui a0,0x3fce0
40006294: f0c50513 addi a0,a0,-244 # 3fcdff0c <ecc_env>
40006298: 02c7a303 lw t1,44(a5)
4000629c: b7c9 j 4000625e <r_ecc_init+0x6a>
4000629e <r_ecc_generate_key256>:
4000629e: 7109 addi sp,sp,-384
400062a0: 00c10813 addi a6,sp,12
400062a4: 17312623 sw s3,364(sp)
400062a8: 89aa mv s3,a0
400062aa: 10c8 addi a0,sp,100
400062ac: 16912a23 sw s1,372(sp)
400062b0: 17412423 sw s4,360(sp)
400062b4: 17512223 sw s5,356(sp)
400062b8: 17612023 sw s6,352(sp)
400062bc: 01f58893 addi a7,a1,31
400062c0: 8b3a mv s6,a4
400062c2: 16112e23 sw ra,380(sp)
400062c6: 16812c23 sw s0,376(sp)
400062ca: 17212823 sw s2,368(sp)
400062ce: 15712e23 sw s7,348(sp)
400062d2: 15812c23 sw s8,344(sp)
400062d6: 15912a23 sw s9,340(sp)
400062da: 15a12823 sw s10,336(sp)
400062de: 15b12623 sw s11,332(sp)
400062e2: 8abe mv s5,a5
400062e4: 00011623 sh zero,12(sp)
400062e8: 06011223 sh zero,100(sp)
400062ec: 08011823 sh zero,144(sp)
400062f0: 067d addi a2,a2,31
400062f2: 06fd addi a3,a3,31
400062f4: 15fd addi a1,a1,-1
400062f6: 872a mv a4,a0
400062f8: 8e42 mv t3,a6
400062fa: 8a42 mv s4,a6
400062fc: 84aa mv s1,a0
400062fe: 0008c783 lbu a5,0(a7)
40006302: fff8c303 lbu t1,-1(a7)
40006306: 18f9 addi a7,a7,-2
40006308: 07a2 slli a5,a5,0x8
4000630a: 979a add a5,a5,t1
4000630c: 00fe1123 sh a5,2(t3)
40006310: 00064783 lbu a5,0(a2)
40006314: fff64303 lbu t1,-1(a2)
40006318: 0e09 addi t3,t3,2
4000631a: 07a2 slli a5,a5,0x8
4000631c: 979a add a5,a5,t1
4000631e: 0006c303 lbu t1,0(a3)
40006322: 00f71123 sh a5,2(a4)
40006326: fff6c783 lbu a5,-1(a3)
4000632a: 0322 slli t1,t1,0x8
4000632c: 0709 addi a4,a4,2
4000632e: 933e add t1,t1,a5
40006330: 02671623 sh t1,44(a4)
40006334: 1679 addi a2,a2,-2
40006336: 16f9 addi a3,a3,-2
40006338: fd1593e3 bne a1,a7,400062fe <r_ecc_generate_key256+0x60>
4000633c: 4781 li a5,0
4000633e: 4745 li a4,17
40006340: 00085683 lhu a3,0(a6)
40006344: e689 bnez a3,4000634e <r_ecc_generate_key256+0xb0>
40006346: 0785 addi a5,a5,1
40006348: 0809 addi a6,a6,2
4000634a: fee79be3 bne a5,a4,40006340 <r_ecc_generate_key256+0xa2>
4000634e: 4bc5 li s7,17
40006350: 40fb8bb3 sub s7,s7,a5
40006354: d85e sw s7,48(sp)
40006356: 872a mv a4,a0
40006358: 4781 li a5,0
4000635a: 46c5 li a3,17
4000635c: 00075603 lhu a2,0(a4)
40006360: e609 bnez a2,4000636a <r_ecc_generate_key256+0xcc>
40006362: 0785 addi a5,a5,1
40006364: 0709 addi a4,a4,2
40006366: fed79be3 bne a5,a3,4000635c <r_ecc_generate_key256+0xbe>
4000636a: 4745 li a4,17
4000636c: 40f707b3 sub a5,a4,a5
40006370: c53e sw a5,136(sp)
40006372: 4681 li a3,0
40006374: 47c5 li a5,17
40006376: 02c55703 lhu a4,44(a0)
4000637a: e709 bnez a4,40006384 <r_ecc_generate_key256+0xe6>
4000637c: 0685 addi a3,a3,1
4000637e: 0509 addi a0,a0,2
40006380: fef69be3 bne a3,a5,40006376 <r_ecc_generate_key256+0xd8>
40006384: 3fce0937 lui s2,0x3fce0
40006388: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
4000638c: 4c45 li s8,17
4000638e: 40dc06b3 sub a3,s8,a3
40006392: 37c7a783 lw a5,892(a5)
40006396: db36 sw a3,180(sp)
40006398: c702 sw zero,140(sp)
4000639a: dd02 sw zero,184(sp)
4000639c: 090c addi a1,sp,144
4000639e: 8526 mv a0,s1
400063a0: 9782 jalr a5
400063a2: 47c9 li a5,18
400063a4: 1c050563 beqz a0,4000656e <r_ecc_generate_key256+0x2d0>
400063a8: f8892783 lw a5,-120(s2)
400063ac: 458d li a1,3
400063ae: 14c00513 li a0,332
400063b2: 1207a783 lw a5,288(a5)
400063b6: 9782 jalr a5
400063b8: 842a mv s0,a0
400063ba: 13651e23 sh s6,316(a0)
400063be: 13551f23 sh s5,318(a0)
400063c2: 14052423 sw zero,328(a0)
400063c6: 15350223 sb s3,324(a0)
400063ca: 02000613 li a2,32
400063ce: 4581 li a1,0
400063d0: 1828 addi a0,sp,56
400063d2: 50c520ef jal ra,400588de <memset>
400063d6: 4785 li a5,1
400063d8: 85a6 mv a1,s1
400063da: 02200613 li a2,34
400063de: 1968 addi a0,sp,188
400063e0: 04f11c23 sh a5,88(sp)
400063e4: 3d6520ef jal ra,400587ba <memcpy>
400063e8: 4b3a lw s6,140(sp)
400063ea: 4daa lw s11,136(sp)
400063ec: 02200613 li a2,34
400063f0: 090c addi a1,sp,144
400063f2: 11a8 addi a0,sp,232
400063f4: d3da sw s6,228(sp)
400063f6: d1ee sw s11,224(sp)
400063f8: 3c2520ef jal ra,400587ba <memcpy>
400063fc: 5aea lw s5,184(sp)
400063fe: 5d5a lw s10,180(sp)
40006400: 02200613 li a2,34
40006404: 182c addi a1,sp,56
40006406: 0a48 addi a0,sp,276
40006408: 11512823 sw s5,272(sp)
4000640c: 11a12623 sw s10,268(sp)
40006410: 08c40c93 addi s9,s0,140
40006414: 3a6520ef jal ra,400587ba <memcpy>
40006418: 4485 li s1,1
4000641a: 02200613 li a2,34
4000641e: 196c addi a1,sp,188
40006420: 8566 mv a0,s9
40006422: 12912c23 sw s1,312(sp)
40006426: 12012e23 sw zero,316(sp)
4000642a: 390520ef jal ra,400587ba <memcpy>
4000642e: 0b642a23 sw s6,180(s0)
40006432: 0b840b13 addi s6,s0,184
40006436: 02200613 li a2,34
4000643a: 11ac addi a1,sp,232
4000643c: 0bb42823 sw s11,176(s0)
40006440: 855a mv a0,s6
40006442: 378520ef jal ra,400587ba <memcpy>
40006446: 0f542023 sw s5,224(s0)
4000644a: 0e440a93 addi s5,s0,228
4000644e: 02200613 li a2,34
40006452: 0a4c addi a1,sp,276
40006454: 0da42e23 sw s10,220(s0)
40006458: 8556 mv a0,s5
4000645a: 360520ef jal ra,400587ba <memcpy>
4000645e: 02200613 li a2,34
40006462: 85d2 mv a1,s4
40006464: 10942423 sw s1,264(s0)
40006468: 10042623 sw zero,268(s0)
4000646c: 11040513 addi a0,s0,272
40006470: 34a520ef jal ra,400587ba <memcpy>
40006474: 57d2 lw a5,52(sp)
40006476: 02200613 li a2,34
4000647a: 4581 li a1,0
4000647c: 12f42c23 sw a5,312(s0)
40006480: 13742a23 sw s7,308(s0)
40006484: 1828 addi a0,sp,56
40006486: 458520ef jal ra,400588de <memset>
4000648a: 02200613 li a2,34
4000648e: 182c addi a1,sp,56
40006490: 00840513 addi a0,s0,8
40006494: 326520ef jal ra,400587ba <memcpy>
40006498: 02200613 li a2,34
4000649c: 182c addi a1,sp,56
4000649e: d444 sw s1,44(s0)
400064a0: 02042823 sw zero,48(s0)
400064a4: 03440513 addi a0,s0,52
400064a8: 04911c23 sh s1,88(sp)
400064ac: 30e520ef jal ra,400587ba <memcpy>
400064b0: 02200613 li a2,34
400064b4: cc24 sw s1,88(s0)
400064b6: 04042e23 sw zero,92(s0)
400064ba: 182c addi a1,sp,56
400064bc: 06040513 addi a0,s0,96
400064c0: 04011c23 sh zero,88(sp)
400064c4: 2f6520ef jal ra,400587ba <memcpy>
400064c8: 003f07b7 lui a5,0x3f0
400064cc: 14f42023 sw a5,320(s0)
400064d0: 08942223 sw s1,132(s0)
400064d4: 08042423 sw zero,136(s0)
400064d8: 01842223 sw s8,4(s0)
400064dc: 4789 li a5,2
400064de: 06f99863 bne s3,a5,4000654e <r_ecc_generate_key256+0x2b0>
400064e2: f8892783 lw a5,-120(s2)
400064e6: 458d li a1,3
400064e8: 7bc00513 li a0,1980
400064ec: 1207a783 lw a5,288(a5) # 3f0120 <RvExcFrameSize+0x3f0090>
400064f0: 9782 jalr a5
400064f2: 02200613 li a2,34
400064f6: 85e6 mv a1,s9
400064f8: 14a42423 sw a0,328(s0)
400064fc: 84aa mv s1,a0
400064fe: 2bc520ef jal ra,400587ba <memcpy>
40006502: 0b042783 lw a5,176(s0)
40006506: 02200613 li a2,34
4000650a: 85da mv a1,s6
4000650c: d0dc sw a5,36(s1)
4000650e: 0b442783 lw a5,180(s0)
40006512: 02c48513 addi a0,s1,44
40006516: d49c sw a5,40(s1)
40006518: 2a2520ef jal ra,400587ba <memcpy>
4000651c: 0dc42783 lw a5,220(s0)
40006520: 02200613 li a2,34
40006524: 85d6 mv a1,s5
40006526: c8bc sw a5,80(s1)
40006528: 0e042783 lw a5,224(s0)
4000652c: 05848513 addi a0,s1,88
40006530: c8fc sw a5,84(s1)
40006532: 288520ef jal ra,400587ba <memcpy>
40006536: 10842783 lw a5,264(s0)
4000653a: dcfc sw a5,124(s1)
4000653c: 10c42783 lw a5,268(s0)
40006540: 08f4a023 sw a5,128(s1)
40006544: 478d li a5,3
40006546: 14f40223 sb a5,324(s0)
4000654a: 14041123 sh zero,322(s0)
4000654e: f8892783 lw a5,-120(s2)
40006552: 3fce0537 lui a0,0x3fce0
40006556: 85a2 mv a1,s0
40006558: 43fc lw a5,68(a5)
4000655a: f0c50513 addi a0,a0,-244 # 3fcdff0c <ecc_env>
4000655e: 9782 jalr a5
40006560: f8892783 lw a5,-120(s2)
40006564: 4505 li a0,1
40006566: 1087a783 lw a5,264(a5)
4000656a: 9782 jalr a5
4000656c: 4781 li a5,0
4000656e: 17c12083 lw ra,380(sp)
40006572: 17812403 lw s0,376(sp)
40006576: 17412483 lw s1,372(sp)
4000657a: 17012903 lw s2,368(sp)
4000657e: 16c12983 lw s3,364(sp)
40006582: 16812a03 lw s4,360(sp)
40006586: 16412a83 lw s5,356(sp)
4000658a: 16012b03 lw s6,352(sp)
4000658e: 15c12b83 lw s7,348(sp)
40006592: 15812c03 lw s8,344(sp)
40006596: 15412c83 lw s9,340(sp)
4000659a: 15012d03 lw s10,336(sp)
4000659e: 14c12d83 lw s11,332(sp)
400065a2: 853e mv a0,a5
400065a4: 6119 addi sp,sp,384
400065a6: 8082 ret
400065a8 <r_ecc_abort_key256_generation>:
400065a8: 1141 addi sp,sp,-16
400065aa: 3fce07b7 lui a5,0x3fce0
400065ae: c422 sw s0,8(sp)
400065b0: f0c7a403 lw s0,-244(a5) # 3fcdff0c <ecc_env>
400065b4: c04a sw s2,0(sp)
400065b6: c606 sw ra,12(sp)
400065b8: c226 sw s1,4(sp)
400065ba: 4581 li a1,0
400065bc: f0c78913 addi s2,a5,-244
400065c0: e419 bnez s0,400065ce <r_ecc_abort_key256_generation+0x26>
400065c2: 40b2 lw ra,12(sp)
400065c4: 4422 lw s0,8(sp)
400065c6: 4492 lw s1,4(sp)
400065c8: 4902 lw s2,0(sp)
400065ca: 0141 addi sp,sp,16
400065cc: 8082 ret
400065ce: 13e45703 lhu a4,318(s0)
400065d2: 04a71763 bne a4,a0,40006620 <r_ecc_abort_key256_generation+0x78>
400065d6: 3fce04b7 lui s1,0x3fce0
400065da: f884a703 lw a4,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400065de: f0c78513 addi a0,a5,-244
400065e2: 8622 mv a2,s0
400065e4: 5318 lw a4,32(a4)
400065e6: 9702 jalr a4
400065e8: 14842503 lw a0,328(s0)
400065ec: c511 beqz a0,400065f8 <r_ecc_abort_key256_generation+0x50>
400065ee: f884a783 lw a5,-120(s1)
400065f2: 1107a783 lw a5,272(a5)
400065f6: 9782 jalr a5
400065f8: f884a783 lw a5,-120(s1)
400065fc: 8522 mv a0,s0
400065fe: 1107a783 lw a5,272(a5)
40006602: 9782 jalr a5
40006604: 00092783 lw a5,0(s2)
40006608: ffcd bnez a5,400065c2 <r_ecc_abort_key256_generation+0x1a>
4000660a: f884a783 lw a5,-120(s1)
4000660e: 4422 lw s0,8(sp)
40006610: 40b2 lw ra,12(sp)
40006612: 4492 lw s1,4(sp)
40006614: 4902 lw s2,0(sp)
40006616: 0ec7a303 lw t1,236(a5)
4000661a: 4505 li a0,1
4000661c: 0141 addi sp,sp,16
4000661e: 8302 jr t1
40006620: 85a2 mv a1,s0
40006622: 4000 lw s0,0(s0)
40006624: bf71 j 400065c0 <r_ecc_abort_key256_generation+0x18>
40006626 <r_ecc_gen_new_public_key>:
40006626: 3fce07b7 lui a5,0x3fce0
4000662a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000662e: 3ff206b7 lui a3,0x3ff20
40006632: 872e mv a4,a1
40006634: 3687a303 lw t1,872(a5)
40006638: 87b2 mv a5,a2
4000663a: 3ff20637 lui a2,0x3ff20
4000663e: 85aa mv a1,a0
40006640: fbc68693 addi a3,a3,-68 # 3ff1ffbc <BasePoint_y_256>
40006644: fdc60613 addi a2,a2,-36 # 3ff1ffdc <BasePoint_x_256>
40006648: 4505 li a0,1
4000664a: 8302 jr t1
4000664c <r_ecc_gen_new_secret_key>:
4000664c: 14059a63 bnez a1,400067a0 <r_ecc_gen_new_secret_key+0x154>
40006650: 1141 addi sp,sp,-16
40006652: c422 sw s0,8(sp)
40006654: c226 sw s1,4(sp)
40006656: c04a sw s2,0(sp)
40006658: c606 sw ra,12(sp)
4000665a: 842a mv s0,a0
4000665c: 3fce04b7 lui s1,0x3fce0
40006660: 3fce0937 lui s2,0x3fce0
40006664: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
40006668: 0887a783 lw a5,136(a5)
4000666c: 9782 jalr a5
4000666e: 00855793 srli a5,a0,0x8
40006672: 00a40023 sb a0,0(s0)
40006676: 00f400a3 sb a5,1(s0)
4000667a: 01055793 srli a5,a0,0x10
4000667e: 8161 srli a0,a0,0x18
40006680: 00f40123 sb a5,2(s0)
40006684: 00a401a3 sb a0,3(s0)
40006688: f844a783 lw a5,-124(s1)
4000668c: 0887a783 lw a5,136(a5)
40006690: 9782 jalr a5
40006692: 00855793 srli a5,a0,0x8
40006696: 00f402a3 sb a5,5(s0)
4000669a: 01055793 srli a5,a0,0x10
4000669e: 00f40323 sb a5,6(s0)
400066a2: f844a783 lw a5,-124(s1)
400066a6: 00a40223 sb a0,4(s0)
400066aa: 8161 srli a0,a0,0x18
400066ac: 00a403a3 sb a0,7(s0)
400066b0: 0887a783 lw a5,136(a5)
400066b4: 9782 jalr a5
400066b6: 00855793 srli a5,a0,0x8
400066ba: 00f404a3 sb a5,9(s0)
400066be: 01055793 srli a5,a0,0x10
400066c2: 00f40523 sb a5,10(s0)
400066c6: f844a783 lw a5,-124(s1)
400066ca: 00a40423 sb a0,8(s0)
400066ce: 8161 srli a0,a0,0x18
400066d0: 00a405a3 sb a0,11(s0)
400066d4: 0887a783 lw a5,136(a5)
400066d8: 9782 jalr a5
400066da: 00855793 srli a5,a0,0x8
400066de: 00f406a3 sb a5,13(s0)
400066e2: 01055793 srli a5,a0,0x10
400066e6: 00f40723 sb a5,14(s0)
400066ea: f844a783 lw a5,-124(s1)
400066ee: 00a40623 sb a0,12(s0)
400066f2: 8161 srli a0,a0,0x18
400066f4: 00a407a3 sb a0,15(s0)
400066f8: 0887a783 lw a5,136(a5)
400066fc: 9782 jalr a5
400066fe: 00855793 srli a5,a0,0x8
40006702: 00f408a3 sb a5,17(s0)
40006706: 01055793 srli a5,a0,0x10
4000670a: 00f40923 sb a5,18(s0)
4000670e: f844a783 lw a5,-124(s1)
40006712: 00a40823 sb a0,16(s0)
40006716: 8161 srli a0,a0,0x18
40006718: 00a409a3 sb a0,19(s0)
4000671c: 0887a783 lw a5,136(a5)
40006720: 9782 jalr a5
40006722: 00855793 srli a5,a0,0x8
40006726: 00f40aa3 sb a5,21(s0)
4000672a: 01055793 srli a5,a0,0x10
4000672e: 00f40b23 sb a5,22(s0)
40006732: f844a783 lw a5,-124(s1)
40006736: 00a40a23 sb a0,20(s0)
4000673a: 8161 srli a0,a0,0x18
4000673c: 00a40ba3 sb a0,23(s0)
40006740: 0887a783 lw a5,136(a5)
40006744: 9782 jalr a5
40006746: 00855793 srli a5,a0,0x8
4000674a: 00f40ca3 sb a5,25(s0)
4000674e: 01055793 srli a5,a0,0x10
40006752: 00f40d23 sb a5,26(s0)
40006756: f844a783 lw a5,-124(s1)
4000675a: 00a40c23 sb a0,24(s0)
4000675e: 8161 srli a0,a0,0x18
40006760: 00a40da3 sb a0,27(s0)
40006764: 0887a783 lw a5,136(a5)
40006768: 9782 jalr a5
4000676a: 00855793 srli a5,a0,0x8
4000676e: 00f40ea3 sb a5,29(s0)
40006772: 01055793 srli a5,a0,0x10
40006776: 00f40f23 sb a5,30(s0)
4000677a: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
4000677e: 00a40e23 sb a0,28(s0)
40006782: 8161 srli a0,a0,0x18
40006784: 00a40fa3 sb a0,31(s0)
40006788: 3947a783 lw a5,916(a5)
4000678c: 8522 mv a0,s0
4000678e: 9782 jalr a5
40006790: ec050ae3 beqz a0,40006664 <r_ecc_gen_new_secret_key+0x18>
40006794: 40b2 lw ra,12(sp)
40006796: 4422 lw s0,8(sp)
40006798: 4492 lw s1,4(sp)
4000679a: 4902 lw s2,0(sp)
4000679c: 0141 addi sp,sp,16
4000679e: 8082 ret
400067a0: 8082 ret
400067a2 <r_ecc_get_debug_Keys>:
400067a2: 3ff20837 lui a6,0x3ff20
400067a6: 3ff206b7 lui a3,0x3ff20
400067aa: 3ff20737 lui a4,0x3ff20
400067ae: 47fd li a5,31
400067b0: f9c80813 addi a6,a6,-100 # 3ff1ff9c <DebugE256PublicKey_x>
400067b4: f7c68693 addi a3,a3,-132 # 3ff1ff7c <DebugE256PublicKey_y>
400067b8: f5c70713 addi a4,a4,-164 # 3ff1ff5c <DebugE256SecretKey>
400067bc: 58fd li a7,-1
400067be: 00f80333 add t1,a6,a5
400067c2: 00034e03 lbu t3,0(t1)
400067c6: 00f58333 add t1,a1,a5
400067ca: 01c30023 sb t3,0(t1)
400067ce: 00f68333 add t1,a3,a5
400067d2: 00034e03 lbu t3,0(t1)
400067d6: 00f60333 add t1,a2,a5
400067da: 01c30023 sb t3,0(t1)
400067de: 00f70333 add t1,a4,a5
400067e2: 00034e03 lbu t3,0(t1)
400067e6: 00f50333 add t1,a0,a5
400067ea: 17fd addi a5,a5,-1
400067ec: 01c30023 sb t3,0(t1)
400067f0: fd1797e3 bne a5,a7,400067be <r_ecc_get_debug_Keys+0x1c>
400067f4: 8082 ret
400067f6 <r_h4tl_eif_register>:
400067f6: 1141 addi sp,sp,-16
400067f8: c422 sw s0,8(sp)
400067fa: c606 sw ra,12(sp)
400067fc: c226 sw s1,4(sp)
400067fe: 547d li s0,-1
40006800: c531 beqz a0,4000684c <r_h4tl_eif_register+0x56>
40006802: 3fce04b7 lui s1,0x3fce0
40006806: 9f44a703 lw a4,-1548(s1) # 3fcdf9f4 <g_bt_plf_log_level>
4000680a: 478d li a5,3
4000680c: 842a mv s0,a0
4000680e: 00e7dd63 bge a5,a4,40006828 <r_h4tl_eif_register+0x32>
40006812: fadec5b7 lui a1,0xfadec
40006816: 3ff1a537 lui a0,0x3ff1a
4000681a: 6641 lui a2,0x10
4000681c: ead58593 addi a1,a1,-339 # fadebead <SPIMEM0+0x9ade8ead>
40006820: 48c50513 addi a0,a0,1164 # 3ff1a48c <CSWTCH.72+0x160>
40006824: 6b1410ef jal ra,400486d4 <ets_printf>
40006828: 401c lw a5,0(s0)
4000682a: fadec5b7 lui a1,0xfadec
4000682e: ead58593 addi a1,a1,-339 # fadebead <SPIMEM0+0x9ade8ead>
40006832: 02b78363 beq a5,a1,40006858 <r_h4tl_eif_register+0x62>
40006836: 9f44a783 lw a5,-1548(s1)
4000683a: 5479 li s0,-2
4000683c: 00f05863 blez a5,4000684c <r_h4tl_eif_register+0x56>
40006840: 3ff1a537 lui a0,0x3ff1a
40006844: 4a850513 addi a0,a0,1192 # 3ff1a4a8 <CSWTCH.72+0x17c>
40006848: 68d410ef jal ra,400486d4 <ets_printf>
4000684c: 8522 mv a0,s0
4000684e: 40b2 lw ra,12(sp)
40006850: 4422 lw s0,8(sp)
40006852: 4492 lw s1,4(sp)
40006854: 0141 addi sp,sp,16
40006856: 8082 ret
40006858: 4058 lw a4,4(s0)
4000685a: 67c1 lui a5,0x10
4000685c: 00f70d63 beq a4,a5,40006876 <r_h4tl_eif_register+0x80>
40006860: 9f44a783 lw a5,-1548(s1)
40006864: 5475 li s0,-3
40006866: fef053e3 blez a5,4000684c <r_h4tl_eif_register+0x56>
4000686a: 3ff1a537 lui a0,0x3ff1a
4000686e: 65c1 lui a1,0x10
40006870: 4c850513 addi a0,a0,1224 # 3ff1a4c8 <CSWTCH.72+0x19c>
40006874: bfd1 j 40006848 <r_h4tl_eif_register+0x52>
40006876: 3fce07b7 lui a5,0x3fce0
4000687a: f887aa23 sw s0,-108(a5) # 3fcdff94 <r_h4tl_eif_p>
4000687e: 4401 li s0,0
40006880: b7f1 j 4000684c <r_h4tl_eif_register+0x56>
40006882 <r_h4tl_eif_io_event_post>:
40006882: 3fce07b7 lui a5,0x3fce0
40006886: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000688a: 4505 li a0,1
4000688c: 02c7a303 lw t1,44(a5)
40006890: 8302 jr t1
40006892 <r_emi_em_base_reg_show>:
40006892: 1101 addi sp,sp,-32
40006894: 600317b7 lui a5,0x60031
40006898: c84a sw s2,16(sp)
4000689a: 00100937 lui s2,0x100
4000689e: cc22 sw s0,24(sp)
400068a0: ca26 sw s1,20(sp)
400068a2: c64e sw s3,12(sp)
400068a4: c452 sw s4,8(sp)
400068a6: c256 sw s5,4(sp)
400068a8: c05a sw s6,0(sp)
400068aa: ce06 sw ra,28(sp)
400068ac: 4401 li s0,0
400068ae: 3fce09b7 lui s3,0x3fce0
400068b2: 4a09 li s4,2
400068b4: 1971 addi s2,s2,-4
400068b6: 3ff1aab7 lui s5,0x3ff1a
400068ba: 22078b13 addi s6,a5,544 # 60031220 <SPIMEM0+0x2e220>
400068be: 20478493 addi s1,a5,516
400068c2: 9f49a783 lw a5,-1548(s3) # 3fcdf9f4 <g_bt_plf_log_level>
400068c6: 02fa5b63 bge s4,a5,400068fc <r_emi_em_base_reg_show+0x6a>
400068ca: 00241713 slli a4,s0,0x2
400068ce: 02f00693 li a3,47
400068d2: 016707b3 add a5,a4,s6
400068d6: 0086c463 blt a3,s0,400068de <r_emi_em_base_reg_show+0x4c>
400068da: 009707b3 add a5,a4,s1
400068de: 4390 lw a2,0(a5)
400068e0: 439c lw a5,0(a5)
400068e2: 3fc006b7 lui a3,0x3fc00
400068e6: 8249 srli a2,a2,0x12
400068e8: 078a slli a5,a5,0x2
400068ea: 0127f7b3 and a5,a5,s2
400068ee: 8edd or a3,a3,a5
400068f0: 060a slli a2,a2,0x2
400068f2: 85a2 mv a1,s0
400068f4: 4eca8513 addi a0,s5,1260 # 3ff1a4ec <CSWTCH.72+0x1c0>
400068f8: 5dd410ef jal ra,400486d4 <ets_printf>
400068fc: 0405 addi s0,s0,1
400068fe: 03800793 li a5,56
40006902: fcf410e3 bne s0,a5,400068c2 <r_emi_em_base_reg_show+0x30>
40006906: 40f2 lw ra,28(sp)
40006908: 4462 lw s0,24(sp)
4000690a: 44d2 lw s1,20(sp)
4000690c: 4942 lw s2,16(sp)
4000690e: 49b2 lw s3,12(sp)
40006910: 4a22 lw s4,8(sp)
40006912: 4a92 lw s5,4(sp)
40006914: 4b02 lw s6,0(sp)
40006916: 6105 addi sp,sp,32
40006918: 8082 ret
4000691a <r_emi_base_reg_lut_show>:
4000691a: 1101 addi sp,sp,-32
4000691c: cc22 sw s0,24(sp)
4000691e: 3ff1f437 lui s0,0x3ff1f
40006922: ca26 sw s1,20(sp)
40006924: c84a sw s2,16(sp)
40006926: c64e sw s3,12(sp)
40006928: c452 sw s4,8(sp)
4000692a: c256 sw s5,4(sp)
4000692c: ce06 sw ra,28(sp)
4000692e: 51840413 addi s0,s0,1304 # 3ff1f518 <em_base_reg_lut>
40006932: 4481 li s1,0
40006934: 3fce09b7 lui s3,0x3fce0
40006938: 4a09 li s4,2
4000693a: 3ff1aab7 lui s5,0x3ff1a
4000693e: 03300913 li s2,51
40006942: 9f49a783 lw a5,-1548(s3) # 3fcdf9f4 <g_bt_plf_log_level>
40006946: 00fa5b63 bge s4,a5,4000695c <r_emi_base_reg_lut_show+0x42>
4000694a: 00245683 lhu a3,2(s0)
4000694e: 00044603 lbu a2,0(s0)
40006952: 85a6 mv a1,s1
40006954: 50ca8513 addi a0,s5,1292 # 3ff1a50c <CSWTCH.72+0x1e0>
40006958: 57d410ef jal ra,400486d4 <ets_printf>
4000695c: 0485 addi s1,s1,1
4000695e: 0411 addi s0,s0,4
40006960: ff2491e3 bne s1,s2,40006942 <r_emi_base_reg_lut_show+0x28>
40006964: 40f2 lw ra,28(sp)
40006966: 4462 lw s0,24(sp)
40006968: 44d2 lw s1,20(sp)
4000696a: 4942 lw s2,16(sp)
4000696c: 49b2 lw s3,12(sp)
4000696e: 4a22 lw s4,8(sp)
40006970: 4a92 lw s5,4(sp)
40006972: 6105 addi sp,sp,32
40006974: 8082 ret
40006976 <r_emi_get_mem_addr_by_offset>:
40006976: 1101 addi sp,sp,-32
40006978: c84a sw s2,16(sp)
4000697a: c64e sw s3,12(sp)
4000697c: ce06 sw ra,28(sp)
4000697e: cc22 sw s0,24(sp)
40006980: ca26 sw s1,20(sp)
40006982: c452 sw s4,8(sp)
40006984: 00a55913 srli s2,a0,0xa
40006988: 03200793 li a5,50
4000698c: 89aa mv s3,a0
4000698e: 0127ff63 bgeu a5,s2,400069ac <r_emi_get_mem_addr_by_offset+0x36>
40006992: 3fce07b7 lui a5,0x3fce0
40006996: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000699a: 3ff1a637 lui a2,0x3ff1a
4000699e: 09f00693 li a3,159
400069a2: 47dc lw a5,12(a5)
400069a4: 53060613 addi a2,a2,1328 # 3ff1a530 <CSWTCH.72+0x204>
400069a8: 85ca mv a1,s2
400069aa: 9782 jalr a5
400069ac: 3ff1f7b7 lui a5,0x3ff1f
400069b0: 51878793 addi a5,a5,1304 # 3ff1f518 <em_base_reg_lut>
400069b4: 00291493 slli s1,s2,0x2
400069b8: 94be add s1,s1,a5
400069ba: 0004ca03 lbu s4,0(s1)
400069be: 03700793 li a5,55
400069c2: 0347f063 bgeu a5,s4,400069e2 <r_emi_get_mem_addr_by_offset+0x6c>
400069c6: 3fce07b7 lui a5,0x3fce0
400069ca: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400069ce: 6609 lui a2,0x2
400069d0: 3ff1a5b7 lui a1,0x3ff1a
400069d4: 479c lw a5,8(a5)
400069d6: 7b960613 addi a2,a2,1977 # 27b9 <RvExcFrameSize+0x2729>
400069da: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
400069de: 4501 li a0,0
400069e0: 9782 jalr a5
400069e2: 02f00793 li a5,47
400069e6: 1800c437 lui s0,0x1800c
400069ea: 0b47c163 blt a5,s4,40006a8c <r_emi_get_mem_addr_by_offset+0x116>
400069ee: 48140413 addi s0,s0,1153 # 1800c481 <RvExcFrameSize+0x1800c3f1>
400069f2: 9452 add s0,s0,s4
400069f4: 040a slli s0,s0,0x2
400069f6: 4008 lw a0,0(s0)
400069f8: 0024d583 lhu a1,2(s1)
400069fc: 8149 srli a0,a0,0x12
400069fe: 050a slli a0,a0,0x2
40006a00: 00a58e63 beq a1,a0,40006a1c <r_emi_get_mem_addr_by_offset+0xa6>
40006a04: 3fce07b7 lui a5,0x3fce0
40006a08: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40006a0c: 3ff1a637 lui a2,0x3ff1a
40006a10: 0a400693 li a3,164
40006a14: 47dc lw a5,12(a5)
40006a16: 53060613 addi a2,a2,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006a1a: 9782 jalr a5
40006a1c: 0024d483 lhu s1,2(s1)
40006a20: 409984b3 sub s1,s3,s1
40006a24: 0204d063 bgez s1,40006a44 <r_emi_get_mem_addr_by_offset+0xce>
40006a28: 3fce07b7 lui a5,0x3fce0
40006a2c: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40006a30: 3ff1a637 lui a2,0x3ff1a
40006a34: 0a700693 li a3,167
40006a38: 47dc lw a5,12(a5)
40006a3a: 53060613 addi a2,a2,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006a3e: 85ca mv a1,s2
40006a40: 8526 mv a0,s1
40006a42: 9782 jalr a5
40006a44: 03700793 li a5,55
40006a48: 0347f063 bgeu a5,s4,40006a68 <r_emi_get_mem_addr_by_offset+0xf2>
40006a4c: 3fce07b7 lui a5,0x3fce0
40006a50: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40006a54: 6609 lui a2,0x2
40006a56: 3ff1a5b7 lui a1,0x3ff1a
40006a5a: 479c lw a5,8(a5)
40006a5c: 7c860613 addi a2,a2,1992 # 27c8 <RvExcFrameSize+0x2738>
40006a60: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006a64: 4501 li a0,0
40006a66: 9782 jalr a5
40006a68: 4008 lw a0,0(s0)
40006a6a: 001007b7 lui a5,0x100
40006a6e: 17f1 addi a5,a5,-4
40006a70: 40f2 lw ra,28(sp)
40006a72: 4462 lw s0,24(sp)
40006a74: 050a slli a0,a0,0x2
40006a76: 8d7d and a0,a0,a5
40006a78: 3fc007b7 lui a5,0x3fc00
40006a7c: 8d5d or a0,a0,a5
40006a7e: 9526 add a0,a0,s1
40006a80: 4942 lw s2,16(sp)
40006a82: 44d2 lw s1,20(sp)
40006a84: 49b2 lw s3,12(sp)
40006a86: 4a22 lw s4,8(sp)
40006a88: 6105 addi sp,sp,32
40006a8a: 8082 ret
40006a8c: 48840413 addi s0,s0,1160
40006a90: b78d j 400069f2 <r_emi_get_mem_addr_by_offset+0x7c>
40006a92 <r_emi_get_em_mapping_idx_by_offset>:
40006a92: 1141 addi sp,sp,-16
40006a94: 00a55793 srli a5,a0,0xa
40006a98: c422 sw s0,8(sp)
40006a9a: 01079413 slli s0,a5,0x10
40006a9e: c606 sw ra,12(sp)
40006aa0: 8041 srli s0,s0,0x10
40006aa2: 03200713 li a4,50
40006aa6: 00877f63 bgeu a4,s0,40006ac4 <r_emi_get_em_mapping_idx_by_offset+0x32>
40006aaa: 3fce07b7 lui a5,0x3fce0
40006aae: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40006ab2: 3ff1a637 lui a2,0x3ff1a
40006ab6: 0b200693 li a3,178
40006aba: 47dc lw a5,12(a5)
40006abc: 53060613 addi a2,a2,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006ac0: 85a2 mv a1,s0
40006ac2: 9782 jalr a5
40006ac4: 3ff1f5b7 lui a1,0x3ff1f
40006ac8: 040a slli s0,s0,0x2
40006aca: 51858593 addi a1,a1,1304 # 3ff1f518 <em_base_reg_lut>
40006ace: 95a2 add a1,a1,s0
40006ad0: 40b2 lw ra,12(sp)
40006ad2: 4422 lw s0,8(sp)
40006ad4: 0005c503 lbu a0,0(a1)
40006ad8: 0141 addi sp,sp,16
40006ada: 8082 ret
40006adc <r_emi_overwrite_em_mapping_by_offset>:
40006adc: 3fce07b7 lui a5,0x3fce0
40006ae0: f847a783 lw a5,-124(a5) # 3fcdff84 <r_osi_funcs_p>
40006ae4: 1141 addi sp,sp,-16
40006ae6: c422 sw s0,8(sp)
40006ae8: 5fbc lw a5,120(a5)
40006aea: c606 sw ra,12(sp)
40006aec: c226 sw s1,4(sp)
40006aee: c04a sw s2,0(sp)
40006af0: 842a mv s0,a0
40006af2: 852e mv a0,a1
40006af4: 9782 jalr a5
40006af6: cd65 beqz a0,40006bee <r_emi_overwrite_em_mapping_by_offset+0x112>
40006af8: 3fce0937 lui s2,0x3fce0
40006afc: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
40006b00: 84aa mv s1,a0
40006b02: 8522 mv a0,s0
40006b04: 0b87a783 lw a5,184(a5)
40006b08: 04b2 slli s1,s1,0xc
40006b0a: 80b9 srli s1,s1,0xe
40006b0c: 9782 jalr a5
40006b0e: 03700793 li a5,55
40006b12: 842a mv s0,a0
40006b14: 00a7fe63 bgeu a5,a0,40006b30 <r_emi_overwrite_em_mapping_by_offset+0x54>
40006b18: f8092783 lw a5,-128(s2)
40006b1c: 6609 lui a2,0x2
40006b1e: 3ff1a5b7 lui a1,0x3ff1a
40006b22: 479c lw a5,8(a5)
40006b24: 7c160613 addi a2,a2,1985 # 27c1 <RvExcFrameSize+0x2731>
40006b28: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006b2c: 4501 li a0,0
40006b2e: 9782 jalr a5
40006b30: 02f00793 li a5,47
40006b34: 0687c563 blt a5,s0,40006b9e <r_emi_overwrite_em_mapping_by_offset+0xc2>
40006b38: 1800c7b7 lui a5,0x1800c
40006b3c: 48178793 addi a5,a5,1153 # 1800c481 <RvExcFrameSize+0x1800c3f1>
40006b40: 97a2 add a5,a5,s0
40006b42: 078a slli a5,a5,0x2
40006b44: 4398 lw a4,0(a5)
40006b46: fffc06b7 lui a3,0xfffc0
40006b4a: 8f75 and a4,a4,a3
40006b4c: 8cd9 or s1,s1,a4
40006b4e: c384 sw s1,0(a5)
40006b50: 03700793 li a5,55
40006b54: 0087fe63 bgeu a5,s0,40006b70 <r_emi_overwrite_em_mapping_by_offset+0x94>
40006b58: f8092783 lw a5,-128(s2)
40006b5c: 6609 lui a2,0x2
40006b5e: 3ff1a5b7 lui a1,0x3ff1a
40006b62: 479c lw a5,8(a5)
40006b64: 7fa60613 addi a2,a2,2042 # 27fa <RvExcFrameSize+0x276a>
40006b68: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006b6c: 4501 li a0,0
40006b6e: 9782 jalr a5
40006b70: 47fd li a5,31
40006b72: 0287cb63 blt a5,s0,40006ba8 <r_emi_overwrite_em_mapping_by_offset+0xcc>
40006b76: 60031737 lui a4,0x60031
40006b7a: 2c472683 lw a3,708(a4) # 600312c4 <SPIMEM0+0x2e2c4>
40006b7e: 4785 li a5,1
40006b80: 008797b3 sll a5,a5,s0
40006b84: fff7c413 not s0,a5
40006b88: 8c75 and s0,s0,a3
40006b8a: 8c5d or s0,s0,a5
40006b8c: 2c872223 sw s0,708(a4)
40006b90: 4501 li a0,0
40006b92: 40b2 lw ra,12(sp)
40006b94: 4422 lw s0,8(sp)
40006b96: 4492 lw s1,4(sp)
40006b98: 4902 lw s2,0(sp)
40006b9a: 0141 addi sp,sp,16
40006b9c: 8082 ret
40006b9e: 1800c7b7 lui a5,0x1800c
40006ba2: 48878793 addi a5,a5,1160 # 1800c488 <RvExcFrameSize+0x1800c3f8>
40006ba6: bf69 j 40006b40 <r_emi_overwrite_em_mapping_by_offset+0x64>
40006ba8: 02f00793 li a5,47
40006bac: 0287c163 blt a5,s0,40006bce <r_emi_overwrite_em_mapping_by_offset+0xf2>
40006bb0: 60031737 lui a4,0x60031
40006bb4: 1401 addi s0,s0,-32
40006bb6: 2c872683 lw a3,712(a4) # 600312c8 <SPIMEM0+0x2e2c8>
40006bba: 4785 li a5,1
40006bbc: 008797b3 sll a5,a5,s0
40006bc0: fff7c413 not s0,a5
40006bc4: 8c75 and s0,s0,a3
40006bc6: 8c5d or s0,s0,a5
40006bc8: 2c872423 sw s0,712(a4)
40006bcc: b7d1 j 40006b90 <r_emi_overwrite_em_mapping_by_offset+0xb4>
40006bce: 60031737 lui a4,0x60031
40006bd2: fd040413 addi s0,s0,-48
40006bd6: 30072683 lw a3,768(a4) # 60031300 <SPIMEM0+0x2e300>
40006bda: 4785 li a5,1
40006bdc: 008797b3 sll a5,a5,s0
40006be0: fff7c413 not s0,a5
40006be4: 8c75 and s0,s0,a3
40006be6: 8c5d or s0,s0,a5
40006be8: 30872023 sw s0,768(a4)
40006bec: b755 j 40006b90 <r_emi_overwrite_em_mapping_by_offset+0xb4>
40006bee: 451d li a0,7
40006bf0: b74d j 40006b92 <r_emi_overwrite_em_mapping_by_offset+0xb6>
40006bf2 <r_emi_alloc_em_mapping_by_offset>:
40006bf2: 1101 addi sp,sp,-32
40006bf4: c84a sw s2,16(sp)
40006bf6: 3fce0937 lui s2,0x3fce0
40006bfa: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
40006bfe: cc22 sw s0,24(sp)
40006c00: c452 sw s4,8(sp)
40006c02: 0b87a783 lw a5,184(a5)
40006c06: c256 sw s5,4(sp)
40006c08: ce06 sw ra,28(sp)
40006c0a: ca26 sw s1,20(sp)
40006c0c: c64e sw s3,12(sp)
40006c0e: 8a2a mv s4,a0
40006c10: 8aae mv s5,a1
40006c12: 9782 jalr a5
40006c14: 47fd li a5,31
40006c16: 842a mv s0,a0
40006c18: 06a7c563 blt a5,a0,40006c82 <r_emi_alloc_em_mapping_by_offset+0x90>
40006c1c: 600317b7 lui a5,0x60031
40006c20: 2c47a683 lw a3,708(a5) # 600312c4 <SPIMEM0+0x2e2c4>
40006c24: 872a mv a4,a0
40006c26: 4605 li a2,1
40006c28: 00e617b3 sll a5,a2,a4
40006c2c: 8ff5 and a5,a5,a3
40006c2e: 00e7d7b3 srl a5,a5,a4
40006c32: 0ff7f793 andi a5,a5,255
40006c36: 06c79863 bne a5,a2,40006ca6 <r_emi_alloc_em_mapping_by_offset+0xb4>
40006c3a: 3fce07b7 lui a5,0x3fce0
40006c3e: 9f47a783 lw a5,-1548(a5) # 3fcdf9f4 <g_bt_plf_log_level>
40006c42: 00f05963 blez a5,40006c54 <r_emi_alloc_em_mapping_by_offset+0x62>
40006c46: 3ff1a537 lui a0,0x3ff1a
40006c4a: 85a2 mv a1,s0
40006c4c: 53850513 addi a0,a0,1336 # 3ff1a538 <CSWTCH.72+0x20c>
40006c50: 285410ef jal ra,400486d4 <ets_printf>
40006c54: f8092783 lw a5,-128(s2)
40006c58: 0d200693 li a3,210
40006c5c: 47dc lw a5,12(a5)
40006c5e: 3ff1a637 lui a2,0x3ff1a
40006c62: 53060613 addi a2,a2,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006c66: 4581 li a1,0
40006c68: 8552 mv a0,s4
40006c6a: 9782 jalr a5
40006c6c: 47fd li a5,31
40006c6e: 40f2 lw ra,28(sp)
40006c70: 4462 lw s0,24(sp)
40006c72: 44d2 lw s1,20(sp)
40006c74: 4942 lw s2,16(sp)
40006c76: 49b2 lw s3,12(sp)
40006c78: 4a22 lw s4,8(sp)
40006c7a: 4a92 lw s5,4(sp)
40006c7c: 853e mv a0,a5
40006c7e: 6105 addi sp,sp,32
40006c80: 8082 ret
40006c82: 02f00793 li a5,47
40006c86: 00a7c963 blt a5,a0,40006c98 <r_emi_alloc_em_mapping_by_offset+0xa6>
40006c8a: 600317b7 lui a5,0x60031
40006c8e: 2c87a683 lw a3,712(a5) # 600312c8 <SPIMEM0+0x2e2c8>
40006c92: fe050713 addi a4,a0,-32
40006c96: bf41 j 40006c26 <r_emi_alloc_em_mapping_by_offset+0x34>
40006c98: 600317b7 lui a5,0x60031
40006c9c: 3007a683 lw a3,768(a5) # 60031300 <SPIMEM0+0x2e300>
40006ca0: fd050713 addi a4,a0,-48
40006ca4: b749 j 40006c26 <r_emi_alloc_em_mapping_by_offset+0x34>
40006ca6: 03700793 li a5,55
40006caa: 0087fe63 bgeu a5,s0,40006cc6 <r_emi_alloc_em_mapping_by_offset+0xd4>
40006cae: f8092783 lw a5,-128(s2)
40006cb2: 6609 lui a2,0x2
40006cb4: 3ff1a5b7 lui a1,0x3ff1a
40006cb8: 479c lw a5,8(a5)
40006cba: 7c860613 addi a2,a2,1992 # 27c8 <RvExcFrameSize+0x2738>
40006cbe: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006cc2: 4501 li a0,0
40006cc4: 9782 jalr a5
40006cc6: 02f00793 li a5,47
40006cca: 1800c4b7 lui s1,0x1800c
40006cce: 0487c063 blt a5,s0,40006d0e <r_emi_alloc_em_mapping_by_offset+0x11c>
40006cd2: 48148493 addi s1,s1,1153 # 1800c481 <RvExcFrameSize+0x1800c3f1>
40006cd6: 94a2 add s1,s1,s0
40006cd8: 048a slli s1,s1,0x2
40006cda: 409c lw a5,0(s1)
40006cdc: 000409b7 lui s3,0x40
40006ce0: 19fd addi s3,s3,-1
40006ce2: 0137f7b3 and a5,a5,s3
40006ce6: c79d beqz a5,40006d14 <r_emi_alloc_em_mapping_by_offset+0x122>
40006ce8: 3fce07b7 lui a5,0x3fce0
40006cec: 9f47a783 lw a5,-1548(a5) # 3fcdf9f4 <g_bt_plf_log_level>
40006cf0: 00f05963 blez a5,40006d02 <r_emi_alloc_em_mapping_by_offset+0x110>
40006cf4: 3ff1a537 lui a0,0x3ff1a
40006cf8: 85a2 mv a1,s0
40006cfa: 56850513 addi a0,a0,1384 # 3ff1a568 <CSWTCH.72+0x23c>
40006cfe: 1d7410ef jal ra,400486d4 <ets_printf>
40006d02: f8092783 lw a5,-128(s2)
40006d06: 0d800693 li a3,216
40006d0a: 47dc lw a5,12(a5)
40006d0c: bf89 j 40006c5e <r_emi_alloc_em_mapping_by_offset+0x6c>
40006d0e: 48848493 addi s1,s1,1160
40006d12: b7d1 j 40006cd6 <r_emi_alloc_em_mapping_by_offset+0xe4>
40006d14: 3fce07b7 lui a5,0x3fce0
40006d18: f847a783 lw a5,-124(a5) # 3fcdff84 <r_osi_funcs_p>
40006d1c: 8556 mv a0,s5
40006d1e: 5fbc lw a5,120(a5)
40006d20: 9782 jalr a5
40006d22: 479d li a5,7
40006d24: d529 beqz a0,40006c6e <r_emi_alloc_em_mapping_by_offset+0x7c>
40006d26: 8109 srli a0,a0,0x2
40006d28: 03700793 li a5,55
40006d2c: 013579b3 and s3,a0,s3
40006d30: 0087fe63 bgeu a5,s0,40006d4c <r_emi_alloc_em_mapping_by_offset+0x15a>
40006d34: f8092783 lw a5,-128(s2)
40006d38: 6609 lui a2,0x2
40006d3a: 3ff1a5b7 lui a1,0x3ff1a
40006d3e: 479c lw a5,8(a5)
40006d40: 7c160613 addi a2,a2,1985 # 27c1 <RvExcFrameSize+0x2731>
40006d44: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006d48: 4501 li a0,0
40006d4a: 9782 jalr a5
40006d4c: 4088 lw a0,0(s1)
40006d4e: fffc07b7 lui a5,0xfffc0
40006d52: 8d7d and a0,a0,a5
40006d54: 013569b3 or s3,a0,s3
40006d58: 0134a023 sw s3,0(s1)
40006d5c: 03700793 li a5,55
40006d60: 0087fe63 bgeu a5,s0,40006d7c <r_emi_alloc_em_mapping_by_offset+0x18a>
40006d64: f8092783 lw a5,-128(s2)
40006d68: 6609 lui a2,0x2
40006d6a: 3ff1a5b7 lui a1,0x3ff1a
40006d6e: 479c lw a5,8(a5)
40006d70: 7fa60613 addi a2,a2,2042 # 27fa <RvExcFrameSize+0x276a>
40006d74: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006d78: 4501 li a0,0
40006d7a: 9782 jalr a5
40006d7c: 47fd li a5,31
40006d7e: 0287c163 blt a5,s0,40006da0 <r_emi_alloc_em_mapping_by_offset+0x1ae>
40006d82: 60031737 lui a4,0x60031
40006d86: 2c472683 lw a3,708(a4) # 600312c4 <SPIMEM0+0x2e2c4>
40006d8a: 4785 li a5,1
40006d8c: 008797b3 sll a5,a5,s0
40006d90: fff7c413 not s0,a5
40006d94: 8c75 and s0,s0,a3
40006d96: 8c5d or s0,s0,a5
40006d98: 2c872223 sw s0,708(a4)
40006d9c: 4781 li a5,0
40006d9e: bdc1 j 40006c6e <r_emi_alloc_em_mapping_by_offset+0x7c>
40006da0: 02f00793 li a5,47
40006da4: 0287c163 blt a5,s0,40006dc6 <r_emi_alloc_em_mapping_by_offset+0x1d4>
40006da8: 60031737 lui a4,0x60031
40006dac: 1401 addi s0,s0,-32
40006dae: 2c872683 lw a3,712(a4) # 600312c8 <SPIMEM0+0x2e2c8>
40006db2: 4785 li a5,1
40006db4: 008797b3 sll a5,a5,s0
40006db8: fff7c413 not s0,a5
40006dbc: 8c75 and s0,s0,a3
40006dbe: 8c5d or s0,s0,a5
40006dc0: 2c872423 sw s0,712(a4)
40006dc4: bfe1 j 40006d9c <r_emi_alloc_em_mapping_by_offset+0x1aa>
40006dc6: 60031737 lui a4,0x60031
40006dca: fd040413 addi s0,s0,-48
40006dce: 30072683 lw a3,768(a4) # 60031300 <SPIMEM0+0x2e300>
40006dd2: 4785 li a5,1
40006dd4: 008797b3 sll a5,a5,s0
40006dd8: fff7c413 not s0,a5
40006ddc: 8c75 and s0,s0,a3
40006dde: 8c5d or s0,s0,a5
40006de0: 30872023 sw s0,768(a4)
40006de4: bf65 j 40006d9c <r_emi_alloc_em_mapping_by_offset+0x1aa>
40006de6 <r_emi_free_em_mapping_by_offset>:
40006de6: 1141 addi sp,sp,-16
40006de8: c04a sw s2,0(sp)
40006dea: 3fce0937 lui s2,0x3fce0
40006dee: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
40006df2: c422 sw s0,8(sp)
40006df4: c606 sw ra,12(sp)
40006df6: 0b87a783 lw a5,184(a5) # fffc00b8 <SPIMEM0+0x9ffbd0b8>
40006dfa: c226 sw s1,4(sp)
40006dfc: 9782 jalr a5
40006dfe: 47fd li a5,31
40006e00: 842a mv s0,a0
40006e02: 14a7c363 blt a5,a0,40006f48 <r_emi_free_em_mapping_by_offset+0x162>
40006e06: 600317b7 lui a5,0x60031
40006e0a: 2c47a683 lw a3,708(a5) # 600312c4 <SPIMEM0+0x2e2c4>
40006e0e: 872a mv a4,a0
40006e10: 4785 li a5,1
40006e12: 00e797b3 sll a5,a5,a4
40006e16: 8ff5 and a5,a5,a3
40006e18: 00e7d7b3 srl a5,a5,a4
40006e1c: 0ff7f793 andi a5,a5,255
40006e20: ef99 bnez a5,40006e3e <r_emi_free_em_mapping_by_offset+0x58>
40006e22: 3fce07b7 lui a5,0x3fce0
40006e26: 9f47a703 lw a4,-1548(a5) # 3fcdf9f4 <g_bt_plf_log_level>
40006e2a: 4789 li a5,2
40006e2c: 00e7d963 bge a5,a4,40006e3e <r_emi_free_em_mapping_by_offset+0x58>
40006e30: 3ff1a537 lui a0,0x3ff1a
40006e34: 85a2 mv a1,s0
40006e36: 59450513 addi a0,a0,1428 # 3ff1a594 <CSWTCH.72+0x268>
40006e3a: 09b410ef jal ra,400486d4 <ets_printf>
40006e3e: 03700793 li a5,55
40006e42: 0087fe63 bgeu a5,s0,40006e5e <r_emi_free_em_mapping_by_offset+0x78>
40006e46: f8092783 lw a5,-128(s2)
40006e4a: 6609 lui a2,0x2
40006e4c: 3ff1a5b7 lui a1,0x3ff1a
40006e50: 479c lw a5,8(a5)
40006e52: 7c860613 addi a2,a2,1992 # 27c8 <RvExcFrameSize+0x2738>
40006e56: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006e5a: 4501 li a0,0
40006e5c: 9782 jalr a5
40006e5e: 02f00793 li a5,47
40006e62: 1800c4b7 lui s1,0x1800c
40006e66: 1087c363 blt a5,s0,40006f6c <r_emi_free_em_mapping_by_offset+0x186>
40006e6a: 48148493 addi s1,s1,1153 # 1800c481 <RvExcFrameSize+0x1800c3f1>
40006e6e: 94a2 add s1,s1,s0
40006e70: 048a slli s1,s1,0x2
40006e72: 409c lw a5,0(s1)
40006e74: 00e79713 slli a4,a5,0xe
40006e78: ef19 bnez a4,40006e96 <r_emi_free_em_mapping_by_offset+0xb0>
40006e7a: 3fce07b7 lui a5,0x3fce0
40006e7e: 9f47a703 lw a4,-1548(a5) # 3fcdf9f4 <g_bt_plf_log_level>
40006e82: 4789 li a5,2
40006e84: 00e7d963 bge a5,a4,40006e96 <r_emi_free_em_mapping_by_offset+0xb0>
40006e88: 3ff1a537 lui a0,0x3ff1a
40006e8c: 85a2 mv a1,s0
40006e8e: 5bc50513 addi a0,a0,1468 # 3ff1a5bc <CSWTCH.72+0x290>
40006e92: 043410ef jal ra,400486d4 <ets_printf>
40006e96: 03700793 li a5,55
40006e9a: 0087fe63 bgeu a5,s0,40006eb6 <r_emi_free_em_mapping_by_offset+0xd0>
40006e9e: f8092783 lw a5,-128(s2)
40006ea2: 6609 lui a2,0x2
40006ea4: 3ff1a5b7 lui a1,0x3ff1a
40006ea8: 479c lw a5,8(a5)
40006eaa: 7fa60613 addi a2,a2,2042 # 27fa <RvExcFrameSize+0x276a>
40006eae: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006eb2: 4501 li a0,0
40006eb4: 9782 jalr a5
40006eb6: 47fd li a5,31
40006eb8: 0a87cd63 blt a5,s0,40006f72 <r_emi_free_em_mapping_by_offset+0x18c>
40006ebc: 60031737 lui a4,0x60031
40006ec0: 2c472683 lw a3,708(a4) # 600312c4 <SPIMEM0+0x2e2c4>
40006ec4: 4785 li a5,1
40006ec6: 008797b3 sll a5,a5,s0
40006eca: fff7c793 not a5,a5
40006ece: 8ff5 and a5,a5,a3
40006ed0: 2cf72223 sw a5,708(a4)
40006ed4: 03700793 li a5,55
40006ed8: 0087fe63 bgeu a5,s0,40006ef4 <r_emi_free_em_mapping_by_offset+0x10e>
40006edc: f8092783 lw a5,-128(s2)
40006ee0: 6609 lui a2,0x2
40006ee2: 3ff1a5b7 lui a1,0x3ff1a
40006ee6: 479c lw a5,8(a5)
40006ee8: 7c860613 addi a2,a2,1992 # 27c8 <RvExcFrameSize+0x2738>
40006eec: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006ef0: 4501 li a0,0
40006ef2: 9782 jalr a5
40006ef4: 3fce0737 lui a4,0x3fce0
40006ef8: 409c lw a5,0(s1)
40006efa: f8472703 lw a4,-124(a4) # 3fcdff84 <r_osi_funcs_p>
40006efe: 00100537 lui a0,0x100
40006f02: 1571 addi a0,a0,-4
40006f04: 078a slli a5,a5,0x2
40006f06: 5f78 lw a4,124(a4)
40006f08: 8fe9 and a5,a5,a0
40006f0a: 3fc00537 lui a0,0x3fc00
40006f0e: 8d5d or a0,a0,a5
40006f10: 9702 jalr a4
40006f12: 03700793 li a5,55
40006f16: 0087fe63 bgeu a5,s0,40006f32 <r_emi_free_em_mapping_by_offset+0x14c>
40006f1a: f8092783 lw a5,-128(s2)
40006f1e: 6609 lui a2,0x2
40006f20: 3ff1a5b7 lui a1,0x3ff1a
40006f24: 479c lw a5,8(a5)
40006f26: 7c160613 addi a2,a2,1985 # 27c1 <RvExcFrameSize+0x2731>
40006f2a: 53058593 addi a1,a1,1328 # 3ff1a530 <CSWTCH.72+0x204>
40006f2e: 4501 li a0,0
40006f30: 9782 jalr a5
40006f32: 409c lw a5,0(s1)
40006f34: 40b2 lw ra,12(sp)
40006f36: 4422 lw s0,8(sp)
40006f38: fffc0737 lui a4,0xfffc0
40006f3c: 8ff9 and a5,a5,a4
40006f3e: c09c sw a5,0(s1)
40006f40: 4902 lw s2,0(sp)
40006f42: 4492 lw s1,4(sp)
40006f44: 0141 addi sp,sp,16
40006f46: 8082 ret
40006f48: 02f00793 li a5,47
40006f4c: 00a7c963 blt a5,a0,40006f5e <r_emi_free_em_mapping_by_offset+0x178>
40006f50: 600317b7 lui a5,0x60031
40006f54: 2c87a683 lw a3,712(a5) # 600312c8 <SPIMEM0+0x2e2c8>
40006f58: fe050713 addi a4,a0,-32 # 3fbfffe0 <RvExcFrameSize+0x3fbfff50>
40006f5c: bd55 j 40006e10 <r_emi_free_em_mapping_by_offset+0x2a>
40006f5e: 600317b7 lui a5,0x60031
40006f62: 3007a683 lw a3,768(a5) # 60031300 <SPIMEM0+0x2e300>
40006f66: fd050713 addi a4,a0,-48
40006f6a: b55d j 40006e10 <r_emi_free_em_mapping_by_offset+0x2a>
40006f6c: 48848493 addi s1,s1,1160
40006f70: bdfd j 40006e6e <r_emi_free_em_mapping_by_offset+0x88>
40006f72: 02f00793 li a5,47
40006f76: 60031737 lui a4,0x60031
40006f7a: 0087cf63 blt a5,s0,40006f98 <r_emi_free_em_mapping_by_offset+0x1b2>
40006f7e: 2c872683 lw a3,712(a4) # 600312c8 <SPIMEM0+0x2e2c8>
40006f82: fe040613 addi a2,s0,-32
40006f86: 4785 li a5,1
40006f88: 00c797b3 sll a5,a5,a2
40006f8c: fff7c793 not a5,a5
40006f90: 8ff5 and a5,a5,a3
40006f92: 2cf72423 sw a5,712(a4)
40006f96: bf3d j 40006ed4 <r_emi_free_em_mapping_by_offset+0xee>
40006f98: 30072683 lw a3,768(a4)
40006f9c: fd040613 addi a2,s0,-48
40006fa0: 4785 li a5,1
40006fa2: 00c797b3 sll a5,a5,a2
40006fa6: fff7c793 not a5,a5
40006faa: 8ff5 and a5,a5,a3
40006fac: 30f72023 sw a5,768(a4)
40006fb0: b715 j 40006ed4 <r_emi_free_em_mapping_by_offset+0xee>
40006fb2 <r_h4tl_rx_cmd_hdr_extract>:
40006fb2: 00b54783 lbu a5,11(a0)
40006fb6: 00a54703 lbu a4,10(a0)
40006fba: 07a2 slli a5,a5,0x8
40006fbc: 8fd9 or a5,a5,a4
40006fbe: 00f59023 sh a5,0(a1)
40006fc2: 00c54783 lbu a5,12(a0)
40006fc6: 00f58123 sb a5,2(a1)
40006fca: 8082 ret
40006fcc <r_h4tl_rx_acl_hdr_extract>:
40006fcc: 00d54783 lbu a5,13(a0)
40006fd0: 00c54703 lbu a4,12(a0)
40006fd4: 07a2 slli a5,a5,0x8
40006fd6: 8fd9 or a5,a5,a4
40006fd8: 00f59123 sh a5,2(a1)
40006fdc: 00b54783 lbu a5,11(a0)
40006fe0: 00a54703 lbu a4,10(a0)
40006fe4: 07a2 slli a5,a5,0x8
40006fe6: 8fd9 or a5,a5,a4
40006fe8: 00f59023 sh a5,0(a1)
40006fec: 8082 ret
40006fee <r_h4tl_read_start>:
40006fee: 1141 addi sp,sp,-16
40006ff0: c422 sw s0,8(sp)
40006ff2: 3fce0437 lui s0,0x3fce0
40006ff6: 411c lw a5,0(a0)
40006ff8: f8842703 lw a4,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40006ffc: c606 sw ra,12(sp)
40006ffe: 00050a23 sb zero,20(a0)
40007002: 439c lw a5,0(a5)
40007004: 08472603 lw a2,132(a4)
40007008: 86aa mv a3,a0
4000700a: 4585 li a1,1
4000700c: 054d addi a0,a0,19
4000700e: 9782 jalr a5
40007010: f8842783 lw a5,-120(s0)
40007014: 4422 lw s0,8(sp)
40007016: 40b2 lw ra,12(sp)
40007018: 2b07a303 lw t1,688(a5)
4000701c: 4511 li a0,4
4000701e: 0141 addi sp,sp,16
40007020: 8302 jr t1
40007022 <r_h4tl_read_hdr>:
40007022: 1141 addi sp,sp,-16
40007024: c422 sw s0,8(sp)
40007026: c606 sw ra,12(sp)
40007028: 3fce0437 lui s0,0x3fce0
4000702c: 4785 li a5,1
4000702e: 00f50a23 sb a5,20(a0)
40007032: f8842703 lw a4,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40007036: 411c lw a5,0(a0)
40007038: 86aa mv a3,a0
4000703a: 08472603 lw a2,132(a4)
4000703e: 439c lw a5,0(a5)
40007040: 0529 addi a0,a0,10
40007042: 9782 jalr a5
40007044: f8842783 lw a5,-120(s0)
40007048: 4422 lw s0,8(sp)
4000704a: 40b2 lw ra,12(sp)
4000704c: 2b47a303 lw t1,692(a5)
40007050: 4511 li a0,4
40007052: 0141 addi sp,sp,16
40007054: 8302 jr t1
40007056 <r_h4tl_read_payl>:
40007056: 4789 li a5,2
40007058: 00f50a23 sb a5,20(a0)
4000705c: 3fce07b7 lui a5,0x3fce0
40007060: 4118 lw a4,0(a0)
40007062: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40007066: 86aa mv a3,a0
40007068: 00072303 lw t1,0(a4)
4000706c: 0847a603 lw a2,132(a5)
40007070: 4148 lw a0,4(a0)
40007072: 8302 jr t1
40007074 <r_h4tl_read_next_out_of_sync>:
40007074: 478d li a5,3
40007076: 00f50a23 sb a5,20(a0)
4000707a: 3fce07b7 lui a5,0x3fce0
4000707e: 4118 lw a4,0(a0)
40007080: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40007084: 86aa mv a3,a0
40007086: 00072303 lw t1,0(a4)
4000708a: 0847a603 lw a2,132(a5)
4000708e: 4585 li a1,1
40007090: 054d addi a0,a0,19
40007092: 8302 jr t1
40007094 <r_h4tl_out_of_sync>:
40007094: 1141 addi sp,sp,-16
40007096: c226 sw s1,4(sp)
40007098: 3fce04b7 lui s1,0x3fce0
4000709c: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400070a0: c422 sw s0,8(sp)
400070a2: 842a mv s0,a0
400070a4: 0c87a783 lw a5,200(a5)
400070a8: 6505 lui a0,0x1
400070aa: c606 sw ra,12(sp)
400070ac: 4685 li a3,1
400070ae: 4641 li a2,16
400070b0: 4581 li a1,0
400070b2: 10350513 addi a0,a0,259 # 1103 <RvExcFrameSize+0x1073>
400070b6: 9782 jalr a5
400070b8: 00050023 sb zero,0(a0)
400070bc: 3fce07b7 lui a5,0x3fce0
400070c0: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400070c4: 08c7a783 lw a5,140(a5)
400070c8: 9782 jalr a5
400070ca: 4621 li a2,8
400070cc: 4581 li a1,0
400070ce: 00a40513 addi a0,s0,10
400070d2: 00d510ef jal ra,400588de <memset>
400070d6: 01344783 lbu a5,19(s0)
400070da: 8522 mv a0,s0
400070dc: 00f40923 sb a5,18(s0)
400070e0: f884a783 lw a5,-120(s1)
400070e4: 5bbc lw a5,112(a5)
400070e6: 9782 jalr a5
400070e8: f884a783 lw a5,-120(s1)
400070ec: 4422 lw s0,8(sp)
400070ee: 40b2 lw ra,12(sp)
400070f0: 4492 lw s1,4(sp)
400070f2: 2b07a303 lw t1,688(a5)
400070f6: 4511 li a0,4
400070f8: 0141 addi sp,sp,16
400070fa: 8302 jr t1
400070fc <r_h4tl_out_of_sync_check>:
400070fc: 1101 addi sp,sp,-32
400070fe: cc22 sw s0,24(sp)
40007100: 3ff1a437 lui s0,0x3ff1a
40007104: c1840413 addi s0,s0,-1000 # 3ff19c18 <_rodata_start+0x18>
40007108: 401c lw a5,0(s0)
4000710a: ca26 sw s1,20(sp)
4000710c: 01050593 addi a1,a0,16
40007110: 84aa mv s1,a0
40007112: 4611 li a2,4
40007114: 850a mv a0,sp
40007116: ce06 sw ra,28(sp)
40007118: c84a sw s2,16(sp)
4000711a: c03e sw a5,0(sp)
4000711c: 656510ef jal ra,40058772 <memcmp>
40007120: c131 beqz a0,40007164 <r_h4tl_out_of_sync_check+0x68>
40007122: 00440593 addi a1,s0,4
40007126: 4629 li a2,10
40007128: 0048 addi a0,sp,4
4000712a: 690510ef jal ra,400587ba <memcpy>
4000712e: 00a48413 addi s0,s1,10
40007132: 4629 li a2,10
40007134: 85a2 mv a1,s0
40007136: 0048 addi a0,sp,4
40007138: 63a510ef jal ra,40058772 <memcmp>
4000713c: 3fce0937 lui s2,0x3fce0
40007140: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
40007144: c131 beqz a0,40007188 <r_h4tl_out_of_sync_check+0x8c>
40007146: 2b47a783 lw a5,692(a5)
4000714a: 4511 li a0,4
4000714c: 04cd addi s1,s1,19
4000714e: 9782 jalr a5
40007150: 85a2 mv a1,s0
40007152: 0015c783 lbu a5,1(a1)
40007156: 0585 addi a1,a1,1
40007158: fef58fa3 sb a5,-1(a1)
4000715c: fe959be3 bne a1,s1,40007152 <r_h4tl_out_of_sync_check+0x56>
40007160: 4501 li a0,0
40007162: a829 j 4000717c <r_h4tl_out_of_sync_check+0x80>
40007164: 3fce07b7 lui a5,0x3fce0
40007168: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000716c: 6505 lui a0,0x1
4000716e: 4601 li a2,0
40007170: 57dc lw a5,44(a5)
40007172: 4581 li a1,0
40007174: c0350513 addi a0,a0,-1021 # c03 <RvExcFrameSize+0xb73>
40007178: 9782 jalr a5
4000717a: 4505 li a0,1
4000717c: 40f2 lw ra,28(sp)
4000717e: 4462 lw s0,24(sp)
40007180: 44d2 lw s1,20(sp)
40007182: 4942 lw s2,16(sp)
40007184: 6105 addi sp,sp,32
40007186: 8082 ret
40007188: 0c87a783 lw a5,200(a5)
4000718c: 4685 li a3,1
4000718e: 4679 li a2,30
40007190: 45a1 li a1,8
40007192: 4505 li a0,1
40007194: 9782 jalr a5
40007196: 4785 li a5,1
40007198: 00f50023 sb a5,0(a0)
4000719c: f8892783 lw a5,-120(s2)
400071a0: 0e07a783 lw a5,224(a5)
400071a4: 9782 jalr a5
400071a6: bfd1 j 4000717a <r_h4tl_out_of_sync_check+0x7e>
400071a8 <r_h4tl_tx_done>:
400071a8: 1141 addi sp,sp,-16
400071aa: c422 sw s0,8(sp)
400071ac: c606 sw ra,12(sp)
400071ae: 842a mv s0,a0
400071b0: cd91 beqz a1,400071cc <r_h4tl_tx_done+0x24>
400071b2: 3fce07b7 lui a5,0x3fce0
400071b6: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400071ba: 3ff1a5b7 lui a1,0x3ff1a
400071be: 1e800613 li a2,488
400071c2: 479c lw a5,8(a5)
400071c4: 5e058593 addi a1,a1,1504 # 3ff1a5e0 <CSWTCH.72+0x2b4>
400071c8: 4501 li a0,0
400071ca: 9782 jalr a5
400071cc: 02444783 lbu a5,36(s0)
400071d0: 4731 li a4,12
400071d2: 40b2 lw ra,12(sp)
400071d4: 02e787b3 mul a5,a5,a4
400071d8: 4521 li a0,8
400071da: 943e add s0,s0,a5
400071dc: 3fce07b7 lui a5,0x3fce0
400071e0: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400071e4: 00042c23 sw zero,24(s0)
400071e8: 4422 lw s0,8(sp)
400071ea: 1087a303 lw t1,264(a5)
400071ee: 0141 addi sp,sp,16
400071f0: 8302 jr t1
400071f2 <r_h4tl_tx_evt_handler>:
400071f2: 1141 addi sp,sp,-16
400071f4: c226 sw s1,4(sp)
400071f6: 3fce04b7 lui s1,0x3fce0
400071fa: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400071fe: c04a sw s2,0(sp)
40007200: c606 sw ra,12(sp)
40007202: 0ec7a783 lw a5,236(a5)
40007206: c422 sw s0,8(sp)
40007208: 4521 li a0,8
4000720a: 9782 jalr a5
4000720c: 3fce0937 lui s2,0x3fce0
40007210: e5c90793 addi a5,s2,-420 # 3fcdfe5c <h4tl_env>
40007214: 0247c783 lbu a5,36(a5)
40007218: 0ff00713 li a4,255
4000721c: 04e78963 beq a5,a4,4000726e <r_h4tl_tx_evt_handler+0x7c>
40007220: 4731 li a4,12
40007222: 02e787b3 mul a5,a5,a4
40007226: e5c90413 addi s0,s2,-420
4000722a: 97a2 add a5,a5,s0
4000722c: 4f98 lw a4,24(a5)
4000722e: eb39 bnez a4,40007284 <r_h4tl_tx_evt_handler+0x92>
40007230: 4fdc lw a5,28(a5)
40007232: c391 beqz a5,40007236 <r_h4tl_tx_evt_handler+0x44>
40007234: 9782 jalr a5
40007236: 4c08 lw a0,24(s0)
40007238: 02244783 lbu a5,34(s0)
4000723c: c515 beqz a0,40007268 <r_h4tl_tx_evt_handler+0x76>
4000723e: c78d beqz a5,40007268 <r_h4tl_tx_evt_handler+0x76>
40007240: f884a783 lw a5,-120(s1)
40007244: 4018 lw a4,0(s0)
40007246: 02045583 lhu a1,32(s0)
4000724a: 02040223 sb zero,36(s0)
4000724e: 4422 lw s0,8(sp)
40007250: 40b2 lw ra,12(sp)
40007252: 4492 lw s1,4(sp)
40007254: 00472303 lw t1,4(a4)
40007258: e5c90693 addi a3,s2,-420
4000725c: 0947a603 lw a2,148(a5)
40007260: 4902 lw s2,0(sp)
40007262: 0585 addi a1,a1,1
40007264: 0141 addi sp,sp,16
40007266: 8302 jr t1
40007268: 57fd li a5,-1
4000726a: 02f40223 sb a5,36(s0)
4000726e: f884a783 lw a5,-120(s1)
40007272: 4422 lw s0,8(sp)
40007274: 40b2 lw ra,12(sp)
40007276: 4492 lw s1,4(sp)
40007278: 4902 lw s2,0(sp)
4000727a: 2b07a303 lw t1,688(a5)
4000727e: 4509 li a0,2
40007280: 0141 addi sp,sp,16
40007282: 8302 jr t1
40007284: 40b2 lw ra,12(sp)
40007286: 4422 lw s0,8(sp)
40007288: 4492 lw s1,4(sp)
4000728a: 4902 lw s2,0(sp)
4000728c: 0141 addi sp,sp,16
4000728e: 8082 ret
40007290 <r_h4tl_rx_done>:
40007290: 1101 addi sp,sp,-32
40007292: cc22 sw s0,24(sp)
40007294: ce06 sw ra,28(sp)
40007296: ca26 sw s1,20(sp)
40007298: c84a sw s2,16(sp)
4000729a: 842a mv s0,a0
4000729c: c59d beqz a1,400072ca <r_h4tl_rx_done+0x3a>
4000729e: 3fce07b7 lui a5,0x3fce0
400072a2: 4705 li a4,1
400072a4: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400072a8: 00e58563 beq a1,a4,400072b2 <r_h4tl_rx_done+0x22>
400072ac: 01454703 lbu a4,20(a0)
400072b0: c709 beqz a4,400072ba <r_h4tl_rx_done+0x2a>
400072b2: 0647a303 lw t1,100(a5)
400072b6: 8522 mv a0,s0
400072b8: a019 j 400072be <r_h4tl_rx_done+0x2e>
400072ba: 0787a303 lw t1,120(a5)
400072be: 4462 lw s0,24(sp)
400072c0: 40f2 lw ra,28(sp)
400072c2: 44d2 lw s1,20(sp)
400072c4: 4942 lw s2,16(sp)
400072c6: 6105 addi sp,sp,32
400072c8: 8302 jr t1
400072ca: 01454783 lbu a5,20(a0)
400072ce: 4705 li a4,1
400072d0: 08e78963 beq a5,a4,40007362 <r_h4tl_rx_done+0xd2>
400072d4: cb95 beqz a5,40007308 <r_h4tl_rx_done+0x78>
400072d6: 4689 li a3,2
400072d8: 18d78b63 beq a5,a3,4000746e <r_h4tl_rx_done+0x1de>
400072dc: 470d li a4,3
400072de: 1ee78d63 beq a5,a4,400074d8 <r_h4tl_rx_done+0x248>
400072e2: 3fce07b7 lui a5,0x3fce0
400072e6: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400072ea: 4462 lw s0,24(sp)
400072ec: 40f2 lw ra,28(sp)
400072ee: 44d2 lw s1,20(sp)
400072f0: 4942 lw s2,16(sp)
400072f2: 0087a303 lw t1,8(a5)
400072f6: 3ff1a5b7 lui a1,0x3ff1a
400072fa: 3e700613 li a2,999
400072fe: 5e058593 addi a1,a1,1504 # 3ff1a5e0 <CSWTCH.72+0x2b4>
40007302: 4501 li a0,0
40007304: 6105 addi sp,sp,32
40007306: 8302 jr t1
40007308: 01354783 lbu a5,19(a0)
4000730c: 3fce06b7 lui a3,0x3fce0
40007310: 4611 li a2,4
40007312: 00f50aa3 sb a5,21(a0)
40007316: f886a683 lw a3,-120(a3) # 3fcdff88 <r_modules_funcs_p>
4000731a: 00c78a63 beq a5,a2,4000732e <r_h4tl_rx_done+0x9e>
4000731e: 02f66863 bltu a2,a5,4000734e <r_h4tl_rx_done+0xbe>
40007322: fff78613 addi a2,a5,-1
40007326: 0ff67613 andi a2,a2,255
4000732a: 02c76563 bltu a4,a2,40007354 <r_h4tl_rx_done+0xc4>
4000732e: 3ff1f737 lui a4,0x3ff1f
40007332: 50870713 addi a4,a4,1288 # 3ff1f508 <h4tl_msgtype2hdrlen>
40007336: 97ba add a5,a5,a4
40007338: 06c6a303 lw t1,108(a3)
4000733c: 0007c583 lbu a1,0(a5)
40007340: 8522 mv a0,s0
40007342: 4462 lw s0,24(sp)
40007344: 40f2 lw ra,28(sp)
40007346: 44d2 lw s1,20(sp)
40007348: 4942 lw s2,16(sp)
4000734a: 6105 addi sp,sp,32
4000734c: 8302 jr t1
4000734e: 4715 li a4,5
40007350: 00e78563 beq a5,a4,4000735a <r_h4tl_rx_done+0xca>
40007354: 0646a303 lw t1,100(a3)
40007358: bfb9 j 400072b6 <r_h4tl_rx_done+0x26>
4000735a: 06c6a303 lw t1,108(a3)
4000735e: 45a1 li a1,8
40007360: b7cd j 40007342 <r_h4tl_rx_done+0xb2>
40007362: 01554503 lbu a0,21(a0)
40007366: 4709 li a4,2
40007368: 02e50463 beq a0,a4,40007390 <r_h4tl_rx_done+0x100>
4000736c: 4715 li a4,5
4000736e: 02e50963 beq a0,a4,400073a0 <r_h4tl_rx_done+0x110>
40007372: 0cf51a63 bne a0,a5,40007446 <r_h4tl_rx_done+0x1b6>
40007376: 3fce07b7 lui a5,0x3fce0
4000737a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000737e: 4525 li a0,9
40007380: 1087a303 lw t1,264(a5)
40007384: 4462 lw s0,24(sp)
40007386: 40f2 lw ra,28(sp)
40007388: 44d2 lw s1,20(sp)
4000738a: 4942 lw s2,16(sp)
4000738c: 6105 addi sp,sp,32
4000738e: 8302 jr t1
40007390: 3fce07b7 lui a5,0x3fce0
40007394: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40007398: 452d li a0,11
4000739a: 1087a303 lw t1,264(a5)
4000739e: b7dd j 40007384 <r_h4tl_rx_done+0xf4>
400073a0: 3fce0937 lui s2,0x3fce0
400073a4: f9092783 lw a5,-112(s2) # 3fcdff90 <r_hli_funcs_p>
400073a8: 00c45503 lhu a0,12(s0)
400073ac: 3fce04b7 lui s1,0x3fce0
400073b0: 4b9c lw a5,16(a5)
400073b2: 9782 jalr a5
400073b4: 0ff57713 andi a4,a0,255
400073b8: 0ff00793 li a5,255
400073bc: 04f71263 bne a4,a5,40007400 <r_h4tl_rx_done+0x170>
400073c0: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400073c4: 01045683 lhu a3,16(s0)
400073c8: 00c45603 lhu a2,12(s0)
400073cc: 0c87a783 lw a5,200(a5)
400073d0: 6505 lui a0,0x1
400073d2: 45a1 li a1,8
400073d4: daf50513 addi a0,a0,-593 # daf <RvExcFrameSize+0xd1f>
400073d8: 9782 jalr a5
400073da: 00a45703 lhu a4,10(s0)
400073de: ff450793 addi a5,a0,-12
400073e2: fee51f23 sh a4,-2(a0)
400073e6: 01045583 lhu a1,16(s0)
400073ea: f884a703 lw a4,-120(s1)
400073ee: 00c78513 addi a0,a5,12
400073f2: ed95 bnez a1,4000742e <r_h4tl_rx_done+0x19e>
400073f4: 0e072783 lw a5,224(a4)
400073f8: 9782 jalr a5
400073fa: f884a783 lw a5,-120(s1)
400073fe: a84d j 400074b0 <r_h4tl_rx_done+0x220>
40007400: f9092783 lw a5,-112(s2)
40007404: c62a sw a0,12(sp)
40007406: 00e45503 lhu a0,14(s0)
4000740a: 4b9c lw a5,16(a5)
4000740c: 9782 jalr a5
4000740e: f884a783 lw a5,-120(s1)
40007412: 862a mv a2,a0
40007414: 00a41723 sh a0,14(s0)
40007418: 0c87a783 lw a5,200(a5)
4000741c: 01045683 lhu a3,16(s0)
40007420: 45b2 lw a1,12(sp)
40007422: 00a45503 lhu a0,10(s0)
40007426: 9782 jalr a5
40007428: ff450793 addi a5,a0,-12
4000742c: bf6d j 400073e6 <r_h4tl_rx_done+0x156>
4000742e: c048 sw a0,4(s0)
40007430: 00041423 sh zero,8(s0)
40007434: 8522 mv a0,s0
40007436: 4462 lw s0,24(sp)
40007438: 40f2 lw ra,28(sp)
4000743a: 44d2 lw s1,20(sp)
4000743c: 4942 lw s2,16(sp)
4000743e: 07472303 lw t1,116(a4)
40007442: 6105 addi sp,sp,32
40007444: 8302 jr t1
40007446: 3fce07b7 lui a5,0x3fce0
4000744a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000744e: 35300693 li a3,851
40007452: 00c7a303 lw t1,12(a5)
40007456: 01644583 lbu a1,22(s0)
4000745a: 4462 lw s0,24(sp)
4000745c: 40f2 lw ra,28(sp)
4000745e: 44d2 lw s1,20(sp)
40007460: 4942 lw s2,16(sp)
40007462: 3ff1a637 lui a2,0x3ff1a
40007466: 5e060613 addi a2,a2,1504 # 3ff1a5e0 <CSWTCH.72+0x2b4>
4000746a: 6105 addi sp,sp,32
4000746c: 8302 jr t1
4000746e: 01554503 lbu a0,21(a0)
40007472: 00f50f63 beq a0,a5,40007490 <r_h4tl_rx_done+0x200>
40007476: 4795 li a5,5
40007478: 02f50f63 beq a0,a5,400074b6 <r_h4tl_rx_done+0x226>
4000747c: 04e51563 bne a0,a4,400074c6 <r_h4tl_rx_done+0x236>
40007480: 3fce07b7 lui a5,0x3fce0
40007484: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40007488: 4529 li a0,10
4000748a: 1087a303 lw t1,264(a5)
4000748e: bddd j 40007384 <r_h4tl_rx_done+0xf4>
40007490: 3fce07b7 lui a5,0x3fce0
40007494: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40007498: 00845603 lhu a2,8(s0)
4000749c: 00c45583 lhu a1,12(s0)
400074a0: 43dc lw a5,4(a5)
400074a2: 00a45503 lhu a0,10(s0)
400074a6: 9782 jalr a5
400074a8: 3fce07b7 lui a5,0x3fce0
400074ac: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400074b0: 0787a303 lw t1,120(a5)
400074b4: b509 j 400072b6 <r_h4tl_rx_done+0x26>
400074b6: 3fce04b7 lui s1,0x3fce0
400074ba: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400074be: 4048 lw a0,4(s0)
400074c0: 0e07a783 lw a5,224(a5)
400074c4: bf15 j 400073f8 <r_h4tl_rx_done+0x168>
400074c6: 3fce07b7 lui a5,0x3fce0
400074ca: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400074ce: 3ca00693 li a3,970
400074d2: 00c7a303 lw t1,12(a5)
400074d6: b741 j 40007456 <r_h4tl_rx_done+0x1c6>
400074d8: 3fce04b7 lui s1,0x3fce0
400074dc: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400074e0: 57bc lw a5,104(a5)
400074e2: 9782 jalr a5
400074e4: f884a783 lw a5,-120(s1)
400074e8: f561 bnez a0,400074b0 <r_h4tl_rx_done+0x220>
400074ea: 0707a303 lw t1,112(a5)
400074ee: b3e1 j 400072b6 <r_h4tl_rx_done+0x26>
400074f0 <r_h4tl_cmd_hdr_rx_evt_handler>:
400074f0: 1101 addi sp,sp,-32
400074f2: cc22 sw s0,24(sp)
400074f4: 3fce0437 lui s0,0x3fce0
400074f8: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
400074fc: ce06 sw ra,28(sp)
400074fe: ca26 sw s1,20(sp)
40007500: 0ec7a783 lw a5,236(a5)
40007504: c84a sw s2,16(sp)
40007506: c64e sw s3,12(sp)
40007508: 4525 li a0,9
4000750a: 9782 jalr a5
4000750c: f8842783 lw a5,-120(s0)
40007510: 3fce0937 lui s2,0x3fce0
40007514: e5c90493 addi s1,s2,-420 # 3fcdfe5c <h4tl_env>
40007518: 0807a783 lw a5,128(a5)
4000751c: e5c90513 addi a0,s2,-420
40007520: 00a48593 addi a1,s1,10
40007524: 9782 jalr a5
40007526: 00c4c983 lbu s3,12(s1)
4000752a: 3fce07b7 lui a5,0x3fce0
4000752e: 00a4d503 lhu a0,10(s1)
40007532: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40007536: 02099363 bnez s3,4000755c <r_h4tl_cmd_hdr_rx_evt_handler+0x6c>
4000753a: 57dc lw a5,44(a5)
4000753c: 4601 li a2,0
4000753e: 4581 li a1,0
40007540: 9782 jalr a5
40007542: f8842783 lw a5,-120(s0)
40007546: 0787a303 lw t1,120(a5)
4000754a: 4462 lw s0,24(sp)
4000754c: 40f2 lw ra,28(sp)
4000754e: 44d2 lw s1,20(sp)
40007550: 49b2 lw s3,12(sp)
40007552: e5c90513 addi a0,s2,-420
40007556: 4942 lw s2,16(sp)
40007558: 6105 addi sp,sp,32
4000755a: 8302 jr t1
4000755c: 579c lw a5,40(a5)
4000755e: 9782 jalr a5
40007560: 01357763 bgeu a0,s3,4000756e <r_h4tl_cmd_hdr_rx_evt_handler+0x7e>
40007564: f8842783 lw a5,-120(s0)
40007568: 0647a303 lw t1,100(a5)
4000756c: bff9 j 4000754a <r_h4tl_cmd_hdr_rx_evt_handler+0x5a>
4000756e: f8842783 lw a5,-120(s0)
40007572: 00c4c503 lbu a0,12(s1)
40007576: 00049423 sh zero,8(s1)
4000757a: 1207a783 lw a5,288(a5)
4000757e: 4589 li a1,2
40007580: 9782 jalr a5
40007582: c0c8 sw a0,4(s1)
40007584: f8842783 lw a5,-120(s0)
40007588: d165 beqz a0,40007568 <r_h4tl_cmd_hdr_rx_evt_handler+0x78>
4000758a: 4462 lw s0,24(sp)
4000758c: 00c4c583 lbu a1,12(s1)
40007590: 40f2 lw ra,28(sp)
40007592: 44d2 lw s1,20(sp)
40007594: 49b2 lw s3,12(sp)
40007596: 0747a303 lw t1,116(a5)
4000759a: e5c90513 addi a0,s2,-420
4000759e: 4942 lw s2,16(sp)
400075a0: 6105 addi sp,sp,32
400075a2: 8302 jr t1
400075a4 <r_h4tl_cmd_pld_rx_evt_handler>:
400075a4: 1141 addi sp,sp,-16
400075a6: c226 sw s1,4(sp)
400075a8: 3fce04b7 lui s1,0x3fce0
400075ac: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400075b0: c606 sw ra,12(sp)
400075b2: c422 sw s0,8(sp)
400075b4: 0ec7a783 lw a5,236(a5)
400075b8: c04a sw s2,0(sp)
400075ba: 4529 li a0,10
400075bc: 9782 jalr a5
400075be: 3fce07b7 lui a5,0x3fce0
400075c2: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400075c6: 3fce0937 lui s2,0x3fce0
400075ca: e5c90413 addi s0,s2,-420 # 3fcdfe5c <h4tl_env>
400075ce: 00a45503 lhu a0,10(s0)
400075d2: 57dc lw a5,44(a5)
400075d4: 4050 lw a2,4(s0)
400075d6: 00c44583 lbu a1,12(s0)
400075da: 9782 jalr a5
400075dc: 4048 lw a0,4(s0)
400075de: c911 beqz a0,400075f2 <r_h4tl_cmd_pld_rx_evt_handler+0x4e>
400075e0: f884a783 lw a5,-120(s1)
400075e4: 1107a783 lw a5,272(a5)
400075e8: 9782 jalr a5
400075ea: 00041423 sh zero,8(s0)
400075ee: 00042223 sw zero,4(s0)
400075f2: f884a783 lw a5,-120(s1)
400075f6: 4422 lw s0,8(sp)
400075f8: 40b2 lw ra,12(sp)
400075fa: 4492 lw s1,4(sp)
400075fc: 0787a303 lw t1,120(a5)
40007600: e5c90513 addi a0,s2,-420
40007604: 4902 lw s2,0(sp)
40007606: 0141 addi sp,sp,16
40007608: 8302 jr t1
4000760a <r_h4tl_acl_hdr_rx_evt_handler>:
4000760a: 1101 addi sp,sp,-32
4000760c: c84a sw s2,16(sp)
4000760e: 3fce0937 lui s2,0x3fce0
40007612: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
40007616: ce06 sw ra,28(sp)
40007618: cc22 sw s0,24(sp)
4000761a: 0ec7a783 lw a5,236(a5)
4000761e: ca26 sw s1,20(sp)
40007620: 452d li a0,11
40007622: c64e sw s3,12(sp)
40007624: c452 sw s4,8(sp)
40007626: 9782 jalr a5
40007628: f8892783 lw a5,-120(s2)
4000762c: 3fce04b7 lui s1,0x3fce0
40007630: e5c48413 addi s0,s1,-420 # 3fcdfe5c <h4tl_env>
40007634: 5ffc lw a5,124(a5)
40007636: 00a40593 addi a1,s0,10
4000763a: e5c48513 addi a0,s1,-420
4000763e: 9782 jalr a5
40007640: 00c45583 lhu a1,12(s0)
40007644: 00a45503 lhu a0,10(s0)
40007648: 3fce07b7 lui a5,0x3fce0
4000764c: c1bd beqz a1,400076b2 <r_h4tl_acl_hdr_rx_evt_handler+0xa8>
4000764e: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40007652: 8a4a mv s4,s2
40007654: 89a6 mv s3,s1
40007656: 439c lw a5,0(a5)
40007658: 9782 jalr a5
4000765a: 00a41423 sh a0,8(s0)
4000765e: e10d bnez a0,40007680 <r_h4tl_acl_hdr_rx_evt_handler+0x76>
40007660: 00042223 sw zero,4(s0)
40007664: f88a2783 lw a5,-120(s4)
40007668: e5c98513 addi a0,s3,-420 # 3fe5c <RvExcFrameSize+0x3fdcc>
4000766c: 0647a303 lw t1,100(a5)
40007670: 4462 lw s0,24(sp)
40007672: 40f2 lw ra,28(sp)
40007674: 44d2 lw s1,20(sp)
40007676: 4942 lw s2,16(sp)
40007678: 49b2 lw s3,12(sp)
4000767a: 4a22 lw s4,8(sp)
4000767c: 6105 addi sp,sp,32
4000767e: 8302 jr t1
40007680: 3fce07b7 lui a5,0x3fce0
40007684: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40007688: 0bc7a783 lw a5,188(a5)
4000768c: 9782 jalr a5
4000768e: c048 sw a0,4(s0)
40007690: d971 beqz a0,40007664 <r_h4tl_acl_hdr_rx_evt_handler+0x5a>
40007692: f8892783 lw a5,-120(s2)
40007696: 00c45583 lhu a1,12(s0)
4000769a: 4462 lw s0,24(sp)
4000769c: 40f2 lw ra,28(sp)
4000769e: 4942 lw s2,16(sp)
400076a0: 49b2 lw s3,12(sp)
400076a2: 4a22 lw s4,8(sp)
400076a4: 0747a303 lw t1,116(a5)
400076a8: e5c48513 addi a0,s1,-420
400076ac: 44d2 lw s1,20(sp)
400076ae: 6105 addi sp,sp,32
400076b0: 8302 jr t1
400076b2: f8c7a783 lw a5,-116(a5)
400076b6: 4601 li a2,0
400076b8: 4581 li a1,0
400076ba: 43dc lw a5,4(a5)
400076bc: 9782 jalr a5
400076be: f8892783 lw a5,-120(s2)
400076c2: e5c48513 addi a0,s1,-420
400076c6: 0787a303 lw t1,120(a5)
400076ca: b75d j 40007670 <r_h4tl_acl_hdr_rx_evt_handler+0x66>
400076cc <r_h4tl_init>:
400076cc: 1141 addi sp,sp,-16
400076ce: c422 sw s0,8(sp)
400076d0: 02800413 li s0,40
400076d4: 028507b3 mul a5,a0,s0
400076d8: 3fce0437 lui s0,0x3fce0
400076dc: e5c40413 addi s0,s0,-420 # 3fcdfe5c <h4tl_env>
400076e0: c606 sw ra,12(sp)
400076e2: c226 sw s1,4(sp)
400076e4: 3fce04b7 lui s1,0x3fce0
400076e8: 943e add s0,s0,a5
400076ea: 57fd li a5,-1
400076ec: 02f40223 sb a5,36(s0)
400076f0: 02f40123 sb a5,34(s0)
400076f4: 459c lw a5,8(a1)
400076f6: c00c sw a1,0(s0)
400076f8: 00a40b23 sb a0,22(s0)
400076fc: 00042c23 sw zero,24(s0)
40007700: 9782 jalr a5
40007702: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
40007706: 4521 li a0,8
40007708: 1007a703 lw a4,256(a5)
4000770c: 0987a583 lw a1,152(a5)
40007710: 9702 jalr a4
40007712: f884a783 lw a5,-120(s1)
40007716: 4525 li a0,9
40007718: 1007a703 lw a4,256(a5)
4000771c: 4fac lw a1,88(a5)
4000771e: 9702 jalr a4
40007720: f884a783 lw a5,-120(s1)
40007724: 4529 li a0,10
40007726: 1007a703 lw a4,256(a5)
4000772a: 4fec lw a1,92(a5)
4000772c: 9702 jalr a4
4000772e: f884a783 lw a5,-120(s1)
40007732: 452d li a0,11
40007734: 1007a703 lw a4,256(a5)
40007738: 4bec lw a1,84(a5)
4000773a: 9702 jalr a4
4000773c: f884a783 lw a5,-120(s1)
40007740: 8522 mv a0,s0
40007742: 4422 lw s0,8(sp)
40007744: 40b2 lw ra,12(sp)
40007746: 4492 lw s1,4(sp)
40007748: 0787a303 lw t1,120(a5)
4000774c: 0141 addi sp,sp,16
4000774e: 8302 jr t1
40007750 <r_h4tl_write>:
40007750: 7179 addi sp,sp,-48
40007752: ce4e sw s3,28(sp)
40007754: 3fce09b7 lui s3,0x3fce0
40007758: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
4000775c: d422 sw s0,40(sp)
4000775e: d226 sw s1,36(sp)
40007760: 2b47a783 lw a5,692(a5)
40007764: 84aa mv s1,a0
40007766: d04a sw s2,32(sp)
40007768: d606 sw ra,44(sp)
4000776a: 4509 li a0,2
4000776c: 842e mv s0,a1
4000776e: 8932 mv s2,a2
40007770: c636 sw a3,12(sp)
40007772: 9782 jalr a5
40007774: fff48793 addi a5,s1,-1
40007778: fe940fa3 sb s1,-1(s0)
4000777c: 0ff7f793 andi a5,a5,255
40007780: 4711 li a4,4
40007782: 04f76163 bltu a4,a5,400077c4 <r_h4tl_write+0x74>
40007786: 3fce0737 lui a4,0x3fce0
4000778a: e5c70793 addi a5,a4,-420 # 3fcdfe5c <h4tl_env>
4000778e: 46b2 lw a3,12(sp)
40007790: 0247c603 lbu a2,36(a5)
40007794: fff40513 addi a0,s0,-1
40007798: cfd4 sw a3,28(a5)
4000779a: cf88 sw a0,24(a5)
4000779c: 03279023 sh s2,32(a5)
400077a0: 0ff00693 li a3,255
400077a4: 04d61f63 bne a2,a3,40007802 <r_h4tl_write+0xb2>
400077a8: 02078223 sb zero,36(a5)
400077ac: f889a603 lw a2,-120(s3)
400077b0: 439c lw a5,0(a5)
400077b2: e5c70693 addi a3,a4,-420
400077b6: 09462603 lw a2,148(a2)
400077ba: 0047a303 lw t1,4(a5)
400077be: 00190593 addi a1,s2,1
400077c2: a80d j 400077f4 <r_h4tl_write+0xa4>
400077c4: 3fce0937 lui s2,0x3fce0
400077c8: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
400077cc: 3ff1a437 lui s0,0x3ff1a
400077d0: 4f500693 li a3,1269
400077d4: 47dc lw a5,12(a5)
400077d6: 5e040613 addi a2,s0,1504 # 3ff1a5e0 <CSWTCH.72+0x2b4>
400077da: 4585 li a1,1
400077dc: 8526 mv a0,s1
400077de: 9782 jalr a5
400077e0: f8092783 lw a5,-128(s2)
400077e4: 50d00693 li a3,1293
400077e8: 5e040613 addi a2,s0,1504
400077ec: 00c7a303 lw t1,12(a5)
400077f0: 4585 li a1,1
400077f2: 8526 mv a0,s1
400077f4: 5422 lw s0,40(sp)
400077f6: 50b2 lw ra,44(sp)
400077f8: 5492 lw s1,36(sp)
400077fa: 5902 lw s2,32(sp)
400077fc: 49f2 lw s3,28(sp)
400077fe: 6145 addi sp,sp,48
40007800: 8302 jr t1
40007802: 50b2 lw ra,44(sp)
40007804: 5422 lw s0,40(sp)
40007806: 5492 lw s1,36(sp)
40007808: 5902 lw s2,32(sp)
4000780a: 49f2 lw s3,28(sp)
4000780c: 6145 addi sp,sp,48
4000780e: 8082 ret
40007810 <r_h4tl_start>:
40007810: 3fce07b7 lui a5,0x3fce0
40007814: e5c7a783 lw a5,-420(a5) # 3fcdfe5c <h4tl_env>
40007818: 0087a303 lw t1,8(a5)
4000781c: 8302 jr t1
4000781e <r_h4tl_stop>:
4000781e: 1101 addi sp,sp,-32
40007820: cc22 sw s0,24(sp)
40007822: 3fce0437 lui s0,0x3fce0
40007826: e5c40413 addi s0,s0,-420 # 3fcdfe5c <h4tl_env>
4000782a: 401c lw a5,0(s0)
4000782c: ce06 sw ra,28(sp)
4000782e: 47dc lw a5,12(a5)
40007830: 9782 jalr a5
40007832: e511 bnez a0,4000783e <r_h4tl_stop+0x20>
40007834: 401c lw a5,0(s0)
40007836: c62a sw a0,12(sp)
40007838: 479c lw a5,8(a5)
4000783a: 9782 jalr a5
4000783c: 4532 lw a0,12(sp)
4000783e: 40f2 lw ra,28(sp)
40007840: 4462 lw s0,24(sp)
40007842: 6105 addi sp,sp,32
40007844: 8082 ret
40007846 <r_hci_fc_init>:
40007846: 3fce07b7 lui a5,0x3fce0
4000784a: e2c78793 addi a5,a5,-468 # 3fcdfe2c <hci_fc_env>
4000784e: 0007a023 sw zero,0(a5)
40007852: 0007a223 sw zero,4(a5)
40007856: 8082 ret
40007858 <r_hci_fc_acl_buf_size_set>:
40007858: 0fa00793 li a5,250
4000785c: 00a7f863 bgeu a5,a0,4000786c <r_hci_fc_acl_buf_size_set+0x14>
40007860: 3fce07b7 lui a5,0x3fce0
40007864: e2b79723 sh a1,-466(a5) # 3fcdfe2e <hci_fc_env+0x2>
40007868: 4501 li a0,0
4000786a: 8082 ret
4000786c: 4545 li a0,17
4000786e: 8082 ret
40007870 <r_hci_fc_acl_en>:
40007870: 1101 addi sp,sp,-32
40007872: ca26 sw s1,20(sp)
40007874: 3fce04b7 lui s1,0x3fce0
40007878: cc22 sw s0,24(sp)
4000787a: c84a sw s2,16(sp)
4000787c: c64e sw s3,12(sp)
4000787e: ce06 sw ra,28(sp)
40007880: 892a mv s2,a0
40007882: 4401 li s0,0
40007884: 3fce09b7 lui s3,0x3fce0
40007888: e3848493 addi s1,s1,-456 # 3fcdfe38 <hci_env>
4000788c: f809a783 lw a5,-128(s3) # 3fcdff80 <r_plf_funcs_p>
40007890: 5f9c lw a5,56(a5)
40007892: 9782 jalr a5
40007894: 00d54783 lbu a5,13(a0)
40007898: 00f46863 bltu s0,a5,400078a8 <r_hci_fc_acl_en+0x38>
4000789c: 3fce07b7 lui a5,0x3fce0
400078a0: e3278623 sb s2,-468(a5) # 3fcdfe2c <hci_fc_env>
400078a4: 4501 li a0,0
400078a6: a819 j 400078bc <r_hci_fc_acl_en+0x4c>
400078a8: 008487b3 add a5,s1,s0
400078ac: 0187c783 lbu a5,24(a5)
400078b0: e789 bnez a5,400078ba <r_hci_fc_acl_en+0x4a>
400078b2: 0405 addi s0,s0,1
400078b4: 0ff47413 andi s0,s0,255
400078b8: bfd1 j 4000788c <r_hci_fc_acl_en+0x1c>
400078ba: 4531 li a0,12
400078bc: 40f2 lw ra,28(sp)
400078be: 4462 lw s0,24(sp)
400078c0: 44d2 lw s1,20(sp)
400078c2: 4942 lw s2,16(sp)
400078c4: 49b2 lw s3,12(sp)
400078c6: 6105 addi sp,sp,32
400078c8: 8082 ret
400078ca <r_hci_fc_acl_packet_sent>:
400078ca: 3fce07b7 lui a5,0x3fce0
400078ce: e2c78793 addi a5,a5,-468 # 3fcdfe2c <hci_fc_env>
400078d2: 0007c703 lbu a4,0(a5)
400078d6: c711 beqz a4,400078e2 <r_hci_fc_acl_packet_sent+0x18>
400078d8: 0067d703 lhu a4,6(a5)
400078dc: 0705 addi a4,a4,1
400078de: 00e79323 sh a4,6(a5)
400078e2: 8082 ret
400078e4 <r_hci_fc_host_nb_acl_pkts_complete>:
400078e4: 3fce07b7 lui a5,0x3fce0
400078e8: e2c78793 addi a5,a5,-468 # 3fcdfe2c <hci_fc_env>
400078ec: 0067d703 lhu a4,6(a5)
400078f0: 00e57663 bgeu a0,a4,400078fc <r_hci_fc_host_nb_acl_pkts_complete+0x18>
400078f4: 8f09 sub a4,a4,a0
400078f6: 00e79323 sh a4,6(a5)
400078fa: 8082 ret
400078fc: 00079323 sh zero,6(a5)
40007900: 8082 ret
40007902 <r_hci_fc_check_host_available_nb_acl_packets>:
40007902: 3fce07b7 lui a5,0x3fce0
40007906: e2c78793 addi a5,a5,-468 # 3fcdfe2c <hci_fc_env>
4000790a: 0007c703 lbu a4,0(a5)
4000790e: cf09 beqz a4,40007928 <r_hci_fc_check_host_available_nb_acl_packets+0x26>
40007910: 0027d703 lhu a4,2(a5)
40007914: 0067d783 lhu a5,6(a5)
40007918: 4501 li a0,0
4000791a: 00e7f963 bgeu a5,a4,4000792c <r_hci_fc_check_host_available_nb_acl_packets+0x2a>
4000791e: 40f70533 sub a0,a4,a5
40007922: 0542 slli a0,a0,0x10
40007924: 8141 srli a0,a0,0x10
40007926: 8082 ret
40007928: 6541 lui a0,0x10
4000792a: 157d addi a0,a0,-1
4000792c: 8082 ret
4000792e <hci_host_nb_cmp_pkts_cmd_pkupk>:
4000792e: 7139 addi sp,sp,-64
40007930: de06 sw ra,60(sp)
40007932: dc22 sw s0,56(sp)
40007934: da26 sw s1,52(sp)
40007936: d84a sw s2,48(sp)
40007938: d64e sw s3,44(sp)
4000793a: d452 sw s4,40(sp)
4000793c: d256 sw s5,36(sp)
4000793e: d05a sw s6,32(sp)
40007940: ce5e sw s7,28(sp)
40007942: cc62 sw s8,24(sp)
40007944: ca66 sw s9,20(sp)
40007946: c42e sw a1,8(sp)
40007948: c62a sw a0,12(sp)
4000794a: c9d5 beqz a1,400079fe <hci_host_nb_cmp_pkts_cmd_pkupk+0xd0>
4000794c: 3fce0cb7 lui s9,0x3fce0
40007950: f8cca783 lw a5,-116(s9) # 3fcdff8c <r_ip_funcs_p>
40007954: 00065b83 lhu s7,0(a2)
40007958: 00d584b3 add s1,a1,a3
4000795c: 0a47a783 lw a5,164(a5)
40007960: 9baa add s7,s7,a0
40007962: 8b32 mv s6,a2
40007964: 89ae mv s3,a1
40007966: 842a mv s0,a0
40007968: 4705 li a4,1
4000796a: 86de mv a3,s7
4000796c: 8626 mv a2,s1
4000796e: 006c addi a1,sp,12
40007970: 0028 addi a0,sp,8
40007972: 9782 jalr a5
40007974: 8aaa mv s5,a0
40007976: ed01 bnez a0,4000798e <hci_host_nb_cmp_pkts_cmd_pkupk+0x60>
40007978: 4781 li a5,0
4000797a: 02e00c13 li s8,46
4000797e: 4732 lw a4,12(sp)
40007980: 0009c683 lbu a3,0(s3)
40007984: 8f01 sub a4,a4,s0
40007986: 00d7f463 bgeu a5,a3,4000798e <hci_host_nb_cmp_pkts_cmd_pkupk+0x60>
4000798a: 02ec7563 bgeu s8,a4,400079b4 <hci_host_nb_cmp_pkts_cmd_pkupk+0x86>
4000798e: 4532 lw a0,12(sp)
40007990: 40850433 sub s0,a0,s0
40007994: 008b1023 sh s0,0(s6)
40007998: 50f2 lw ra,60(sp)
4000799a: 5462 lw s0,56(sp)
4000799c: 8556 mv a0,s5
4000799e: 54d2 lw s1,52(sp)
400079a0: 5942 lw s2,48(sp)
400079a2: 59b2 lw s3,44(sp)
400079a4: 5a22 lw s4,40(sp)
400079a6: 5a92 lw s5,36(sp)
400079a8: 5b02 lw s6,32(sp)
400079aa: 4bf2 lw s7,28(sp)
400079ac: 4c62 lw s8,24(sp)
400079ae: 4cd2 lw s9,20(sp)
400079b0: 6121 addi sp,sp,64
400079b2: 8082 ret
400079b4: 00178913 addi s2,a5,1
400079b8: 00191a13 slli s4,s2,0x1
400079bc: 014407b3 add a5,s0,s4
400079c0: c63e sw a5,12(sp)
400079c2: f8cca783 lw a5,-116(s9)
400079c6: 4709 li a4,2
400079c8: 86de mv a3,s7
400079ca: 0a47a783 lw a5,164(a5)
400079ce: 8626 mv a2,s1
400079d0: 006c addi a1,sp,12
400079d2: 0028 addi a0,sp,8
400079d4: 9782 jalr a5
400079d6: e115 bnez a0,400079fa <hci_host_nb_cmp_pkts_cmd_pkupk+0xcc>
400079d8: f8cca783 lw a5,-116(s9)
400079dc: 0a59 addi s4,s4,22
400079de: 9a22 add s4,s4,s0
400079e0: 0a47a783 lw a5,164(a5)
400079e4: c652 sw s4,12(sp)
400079e6: 4709 li a4,2
400079e8: 86de mv a3,s7
400079ea: 8626 mv a2,s1
400079ec: 006c addi a1,sp,12
400079ee: 0028 addi a0,sp,8
400079f0: 9782 jalr a5
400079f2: e501 bnez a0,400079fa <hci_host_nb_cmp_pkts_cmd_pkupk+0xcc>
400079f4: 0ff97793 andi a5,s2,255
400079f8: b759 j 4000797e <hci_host_nb_cmp_pkts_cmd_pkupk+0x50>
400079fa: 8aaa mv s5,a0
400079fc: bf49 j 4000798e <hci_host_nb_cmp_pkts_cmd_pkupk+0x60>
400079fe: 02e00793 li a5,46
40007a02: 00f61023 sh a5,0(a2)
40007a06: 4a81 li s5,0
40007a08: bf41 j 40007998 <hci_host_nb_cmp_pkts_cmd_pkupk+0x6a>
40007a0a <hci_le_set_ext_scan_param_cmd_upk>:
40007a0a: 715d addi sp,sp,-80
40007a0c: d85a sw s6,48(sp)
40007a0e: c686 sw ra,76(sp)
40007a10: c4a2 sw s0,72(sp)
40007a12: c2a6 sw s1,68(sp)
40007a14: c0ca sw s2,64(sp)
40007a16: de4e sw s3,60(sp)
40007a18: dc52 sw s4,56(sp)
40007a1a: da56 sw s5,52(sp)
40007a1c: d65e sw s7,44(sp)
40007a1e: c42e sw a1,8(sp)
40007a20: 8b32 mv s6,a2
40007a22: 12058963 beqz a1,40007b54 <hci_le_set_ext_scan_param_cmd_upk+0x14a>
40007a26: 8baa mv s7,a0
40007a28: cd55 beqz a0,40007ae4 <hci_le_set_ext_scan_param_cmd_upk+0xda>
40007a2a: 00065403 lhu s0,0(a2)
40007a2e: 4a05 li s4,1
40007a30: 942a add s0,s0,a0
40007a32: 3fce0937 lui s2,0x3fce0
40007a36: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
40007a3a: 00d584b3 add s1,a1,a3
40007a3e: c65e sw s7,12(sp)
40007a40: 0a47a783 lw a5,164(a5)
40007a44: 4705 li a4,1
40007a46: 86a2 mv a3,s0
40007a48: 8626 mv a2,s1
40007a4a: 006c addi a1,sp,12
40007a4c: 0028 addi a0,sp,8
40007a4e: 9782 jalr a5
40007a50: e935 bnez a0,40007ac4 <hci_le_set_ext_scan_param_cmd_upk+0xba>
40007a52: 001b8793 addi a5,s7,1
40007a56: c63e sw a5,12(sp)
40007a58: f8c92783 lw a5,-116(s2)
40007a5c: 4705 li a4,1
40007a5e: 86a2 mv a3,s0
40007a60: 0a47a783 lw a5,164(a5)
40007a64: 8626 mv a2,s1
40007a66: 006c addi a1,sp,12
40007a68: 0028 addi a0,sp,8
40007a6a: 9782 jalr a5
40007a6c: ed21 bnez a0,40007ac4 <hci_le_set_ext_scan_param_cmd_upk+0xba>
40007a6e: 002b8793 addi a5,s7,2
40007a72: c63e sw a5,12(sp)
40007a74: f8c92783 lw a5,-116(s2)
40007a78: 4705 li a4,1
40007a7a: 86a2 mv a3,s0
40007a7c: 0a47a783 lw a5,164(a5)
40007a80: 8626 mv a2,s1
40007a82: 006c addi a1,sp,12
40007a84: 0028 addi a0,sp,8
40007a86: 9782 jalr a5
40007a88: ed15 bnez a0,40007ac4 <hci_le_set_ext_scan_param_cmd_upk+0xba>
40007a8a: 002bc783 lbu a5,2(s7)
40007a8e: 4981 li s3,0
40007a90: efb9 bnez a5,40007aee <hci_le_set_ext_scan_param_cmd_upk+0xe4>
40007a92: 4789 li a5,2
40007a94: 0137ff63 bgeu a5,s3,40007ab2 <hci_le_set_ext_scan_param_cmd_upk+0xa8>
40007a98: 3fce07b7 lui a5,0x3fce0
40007a9c: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40007aa0: 3ff1a5b7 lui a1,0x3ff1a
40007aa4: 5e600613 li a2,1510
40007aa8: 479c lw a5,8(a5)
40007aaa: 5e858593 addi a1,a1,1512 # 3ff1a5e8 <CSWTCH.72+0x2bc>
40007aae: 4501 li a0,0
40007ab0: 9782 jalr a5
40007ab2: 000a1363 bnez s4,40007ab8 <hci_le_set_ext_scan_param_cmd_upk+0xae>
40007ab6: 4401 li s0,0
40007ab8: 008b8a13 addi s4,s7,8
40007abc: 4a81 li s5,0
40007abe: 053ac063 blt s5,s3,40007afe <hci_le_set_ext_scan_param_cmd_upk+0xf4>
40007ac2: 4501 li a0,0
40007ac4: 47b2 lw a5,12(sp)
40007ac6: 417787b3 sub a5,a5,s7
40007aca: 00fb1023 sh a5,0(s6)
40007ace: 40b6 lw ra,76(sp)
40007ad0: 4426 lw s0,72(sp)
40007ad2: 4496 lw s1,68(sp)
40007ad4: 4906 lw s2,64(sp)
40007ad6: 59f2 lw s3,60(sp)
40007ad8: 5a62 lw s4,56(sp)
40007ada: 5ad2 lw s5,52(sp)
40007adc: 5b42 lw s6,48(sp)
40007ade: 5bb2 lw s7,44(sp)
40007ae0: 6161 addi sp,sp,80
40007ae2: 8082 ret
40007ae4: 4a01 li s4,0
40007ae6: 1000 addi s0,sp,32
40007ae8: 01010b93 addi s7,sp,16
40007aec: b799 j 40007a32 <hci_le_set_ext_scan_param_cmd_upk+0x28>
40007aee: 0017f713 andi a4,a5,1
40007af2: c701 beqz a4,40007afa <hci_le_set_ext_scan_param_cmd_upk+0xf0>
40007af4: 0985 addi s3,s3,1
40007af6: 0ff9f993 andi s3,s3,255
40007afa: 8385 srli a5,a5,0x1
40007afc: bf51 j 40007a90 <hci_le_set_ext_scan_param_cmd_upk+0x86>
40007afe: ffca0793 addi a5,s4,-4
40007b02: c63e sw a5,12(sp)
40007b04: f8c92783 lw a5,-116(s2)
40007b08: 4705 li a4,1
40007b0a: 86a2 mv a3,s0
40007b0c: 0a47a783 lw a5,164(a5)
40007b10: 8626 mv a2,s1
40007b12: 006c addi a1,sp,12
40007b14: 0028 addi a0,sp,8
40007b16: 9782 jalr a5
40007b18: f555 bnez a0,40007ac4 <hci_le_set_ext_scan_param_cmd_upk+0xba>
40007b1a: ffea0793 addi a5,s4,-2
40007b1e: c63e sw a5,12(sp)
40007b20: f8c92783 lw a5,-116(s2)
40007b24: 4709 li a4,2
40007b26: 86a2 mv a3,s0
40007b28: 0a47a783 lw a5,164(a5)
40007b2c: 8626 mv a2,s1
40007b2e: 006c addi a1,sp,12
40007b30: 0028 addi a0,sp,8
40007b32: 9782 jalr a5
40007b34: f941 bnez a0,40007ac4 <hci_le_set_ext_scan_param_cmd_upk+0xba>
40007b36: f8c92783 lw a5,-116(s2)
40007b3a: c652 sw s4,12(sp)
40007b3c: 4709 li a4,2
40007b3e: 0a47a783 lw a5,164(a5)
40007b42: 86a2 mv a3,s0
40007b44: 8626 mv a2,s1
40007b46: 006c addi a1,sp,12
40007b48: 0028 addi a0,sp,8
40007b4a: 9782 jalr a5
40007b4c: 0a19 addi s4,s4,6
40007b4e: f93d bnez a0,40007ac4 <hci_le_set_ext_scan_param_cmd_upk+0xba>
40007b50: 0a85 addi s5,s5,1
40007b52: b7b5 j 40007abe <hci_le_set_ext_scan_param_cmd_upk+0xb4>
40007b54: 47c1 li a5,16
40007b56: 00f61023 sh a5,0(a2)
40007b5a: 4501 li a0,0
40007b5c: bf8d j 40007ace <hci_le_set_ext_scan_param_cmd_upk+0xc4>
40007b5e <hci_le_ext_create_con_cmd_upk>:
40007b5e: 7119 addi sp,sp,-128
40007b60: d0da sw s6,96(sp)
40007b62: de86 sw ra,124(sp)
40007b64: dca2 sw s0,120(sp)
40007b66: daa6 sw s1,116(sp)
40007b68: d8ca sw s2,112(sp)
40007b6a: d6ce sw s3,108(sp)
40007b6c: d4d2 sw s4,104(sp)
40007b6e: d2d6 sw s5,100(sp)
40007b70: cede sw s7,92(sp)
40007b72: c62e sw a1,12(sp)
40007b74: 8b32 mv s6,a2
40007b76: 1e058d63 beqz a1,40007d70 <hci_le_ext_create_con_cmd_upk+0x212>
40007b7a: 8baa mv s7,a0
40007b7c: c975 beqz a0,40007c70 <hci_le_ext_create_con_cmd_upk+0x112>
40007b7e: 00065403 lhu s0,0(a2)
40007b82: 4985 li s3,1
40007b84: 942a add s0,s0,a0
40007b86: 3fce0937 lui s2,0x3fce0
40007b8a: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
40007b8e: 00d584b3 add s1,a1,a3
40007b92: c85e sw s7,16(sp)
40007b94: 0a47a783 lw a5,164(a5)
40007b98: 4705 li a4,1
40007b9a: 86a2 mv a3,s0
40007b9c: 8626 mv a2,s1
40007b9e: 080c addi a1,sp,16
40007ba0: 0068 addi a0,sp,12
40007ba2: 9782 jalr a5
40007ba4: e555 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007ba6: 001b8793 addi a5,s7,1
40007baa: c83e sw a5,16(sp)
40007bac: f8c92783 lw a5,-116(s2)
40007bb0: 4705 li a4,1
40007bb2: 86a2 mv a3,s0
40007bb4: 0a47a783 lw a5,164(a5)
40007bb8: 8626 mv a2,s1
40007bba: 080c addi a1,sp,16
40007bbc: 0068 addi a0,sp,12
40007bbe: 9782 jalr a5
40007bc0: e941 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007bc2: 002b8793 addi a5,s7,2
40007bc6: c83e sw a5,16(sp)
40007bc8: f8c92783 lw a5,-116(s2)
40007bcc: 4705 li a4,1
40007bce: 86a2 mv a3,s0
40007bd0: 0a47a783 lw a5,164(a5)
40007bd4: 8626 mv a2,s1
40007bd6: 080c addi a1,sp,16
40007bd8: 0068 addi a0,sp,12
40007bda: 9782 jalr a5
40007bdc: e935 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007bde: 003b8793 addi a5,s7,3
40007be2: c83e sw a5,16(sp)
40007be4: f8c92783 lw a5,-116(s2)
40007be8: 4719 li a4,6
40007bea: 86a2 mv a3,s0
40007bec: 0a47a783 lw a5,164(a5)
40007bf0: 8626 mv a2,s1
40007bf2: 080c addi a1,sp,16
40007bf4: 0068 addi a0,sp,12
40007bf6: 9782 jalr a5
40007bf8: ed21 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007bfa: 009b8793 addi a5,s7,9
40007bfe: c83e sw a5,16(sp)
40007c00: f8c92783 lw a5,-116(s2)
40007c04: 4705 li a4,1
40007c06: 86a2 mv a3,s0
40007c08: 0a47a783 lw a5,164(a5)
40007c0c: 8626 mv a2,s1
40007c0e: 080c addi a1,sp,16
40007c10: 0068 addi a0,sp,12
40007c12: 9782 jalr a5
40007c14: ed15 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007c16: 009bc783 lbu a5,9(s7)
40007c1a: 4a01 li s4,0
40007c1c: e3a5 bnez a5,40007c7c <hci_le_ext_create_con_cmd_upk+0x11e>
40007c1e: 478d li a5,3
40007c20: 0147ff63 bgeu a5,s4,40007c3e <hci_le_ext_create_con_cmd_upk+0xe0>
40007c24: 3fce07b7 lui a5,0x3fce0
40007c28: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40007c2c: 3ff1a5b7 lui a1,0x3ff1a
40007c30: 68400613 li a2,1668
40007c34: 479c lw a5,8(a5)
40007c36: 5e858593 addi a1,a1,1512 # 3ff1a5e8 <CSWTCH.72+0x2bc>
40007c3a: 4501 li a0,0
40007c3c: 9782 jalr a5
40007c3e: 00099363 bnez s3,40007c44 <hci_le_ext_create_con_cmd_upk+0xe6>
40007c42: 4401 li s0,0
40007c44: 018b8993 addi s3,s7,24
40007c48: 4a81 li s5,0
40007c4a: 054ac163 blt s5,s4,40007c8c <hci_le_ext_create_con_cmd_upk+0x12e>
40007c4e: 4501 li a0,0
40007c50: 47c2 lw a5,16(sp)
40007c52: 417787b3 sub a5,a5,s7
40007c56: 00fb1023 sh a5,0(s6)
40007c5a: 50f6 lw ra,124(sp)
40007c5c: 5466 lw s0,120(sp)
40007c5e: 54d6 lw s1,116(sp)
40007c60: 5946 lw s2,112(sp)
40007c62: 59b6 lw s3,108(sp)
40007c64: 5a26 lw s4,104(sp)
40007c66: 5a96 lw s5,100(sp)
40007c68: 5b06 lw s6,96(sp)
40007c6a: 4bf6 lw s7,92(sp)
40007c6c: 6109 addi sp,sp,128
40007c6e: 8082 ret
40007c70: 4981 li s3,0
40007c72: 04e10413 addi s0,sp,78
40007c76: 01410b93 addi s7,sp,20
40007c7a: b731 j 40007b86 <hci_le_ext_create_con_cmd_upk+0x28>
40007c7c: 0017f713 andi a4,a5,1
40007c80: c701 beqz a4,40007c88 <hci_le_ext_create_con_cmd_upk+0x12a>
40007c82: 0a05 addi s4,s4,1
40007c84: 0ffa7a13 andi s4,s4,255
40007c88: 8385 srli a5,a5,0x1
40007c8a: bf49 j 40007c1c <hci_le_ext_create_con_cmd_upk+0xbe>
40007c8c: ff298793 addi a5,s3,-14
40007c90: c83e sw a5,16(sp)
40007c92: f8c92783 lw a5,-116(s2)
40007c96: 4709 li a4,2
40007c98: 86a2 mv a3,s0
40007c9a: 0a47a783 lw a5,164(a5)
40007c9e: 8626 mv a2,s1
40007ca0: 080c addi a1,sp,16
40007ca2: 0068 addi a0,sp,12
40007ca4: 9782 jalr a5
40007ca6: f54d bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007ca8: ff498793 addi a5,s3,-12
40007cac: c83e sw a5,16(sp)
40007cae: f8c92783 lw a5,-116(s2)
40007cb2: 4709 li a4,2
40007cb4: 86a2 mv a3,s0
40007cb6: 0a47a783 lw a5,164(a5)
40007cba: 8626 mv a2,s1
40007cbc: 080c addi a1,sp,16
40007cbe: 0068 addi a0,sp,12
40007cc0: 9782 jalr a5
40007cc2: f559 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007cc4: ff698793 addi a5,s3,-10
40007cc8: c83e sw a5,16(sp)
40007cca: f8c92783 lw a5,-116(s2)
40007cce: 4709 li a4,2
40007cd0: 86a2 mv a3,s0
40007cd2: 0a47a783 lw a5,164(a5)
40007cd6: 8626 mv a2,s1
40007cd8: 080c addi a1,sp,16
40007cda: 0068 addi a0,sp,12
40007cdc: 9782 jalr a5
40007cde: f92d bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007ce0: ff898793 addi a5,s3,-8
40007ce4: c83e sw a5,16(sp)
40007ce6: f8c92783 lw a5,-116(s2)
40007cea: 4709 li a4,2
40007cec: 86a2 mv a3,s0
40007cee: 0a47a783 lw a5,164(a5)
40007cf2: 8626 mv a2,s1
40007cf4: 080c addi a1,sp,16
40007cf6: 0068 addi a0,sp,12
40007cf8: 9782 jalr a5
40007cfa: f939 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007cfc: ffa98793 addi a5,s3,-6
40007d00: c83e sw a5,16(sp)
40007d02: f8c92783 lw a5,-116(s2)
40007d06: 4709 li a4,2
40007d08: 86a2 mv a3,s0
40007d0a: 0a47a783 lw a5,164(a5)
40007d0e: 8626 mv a2,s1
40007d10: 080c addi a1,sp,16
40007d12: 0068 addi a0,sp,12
40007d14: 9782 jalr a5
40007d16: fd0d bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007d18: ffc98793 addi a5,s3,-4
40007d1c: c83e sw a5,16(sp)
40007d1e: f8c92783 lw a5,-116(s2)
40007d22: 4709 li a4,2
40007d24: 86a2 mv a3,s0
40007d26: 0a47a783 lw a5,164(a5)
40007d2a: 8626 mv a2,s1
40007d2c: 080c addi a1,sp,16
40007d2e: 0068 addi a0,sp,12
40007d30: 9782 jalr a5
40007d32: fd19 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007d34: ffe98793 addi a5,s3,-2
40007d38: c83e sw a5,16(sp)
40007d3a: f8c92783 lw a5,-116(s2)
40007d3e: 4709 li a4,2
40007d40: 86a2 mv a3,s0
40007d42: 0a47a783 lw a5,164(a5)
40007d46: 8626 mv a2,s1
40007d48: 080c addi a1,sp,16
40007d4a: 0068 addi a0,sp,12
40007d4c: 9782 jalr a5
40007d4e: f109 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007d50: f8c92783 lw a5,-116(s2)
40007d54: c84e sw s3,16(sp)
40007d56: 4709 li a4,2
40007d58: 0a47a783 lw a5,164(a5)
40007d5c: 86a2 mv a3,s0
40007d5e: 8626 mv a2,s1
40007d60: 080c addi a1,sp,16
40007d62: 0068 addi a0,sp,12
40007d64: 9782 jalr a5
40007d66: 09c1 addi s3,s3,16
40007d68: ee0514e3 bnez a0,40007c50 <hci_le_ext_create_con_cmd_upk+0xf2>
40007d6c: 0a85 addi s5,s5,1
40007d6e: bdf1 j 40007c4a <hci_le_ext_create_con_cmd_upk+0xec>
40007d70: 03a00793 li a5,58
40007d74: 00f61023 sh a5,0(a2)
40007d78: 4501 li a0,0
40007d7a: b5c5 j 40007c5a <hci_le_ext_create_con_cmd_upk+0xfc>
40007d7c <hci_le_set_ext_adv_en_cmd_upk>:
40007d7c: 711d addi sp,sp,-96
40007d7e: c0da sw s6,64(sp)
40007d80: ce86 sw ra,92(sp)
40007d82: cca2 sw s0,88(sp)
40007d84: caa6 sw s1,84(sp)
40007d86: c8ca sw s2,80(sp)
40007d88: c6ce sw s3,76(sp)
40007d8a: c4d2 sw s4,72(sp)
40007d8c: c2d6 sw s5,68(sp)
40007d8e: c62e sw a1,12(sp)
40007d90: 8b32 mv s6,a2
40007d92: 10058c63 beqz a1,40007eaa <hci_le_set_ext_adv_en_cmd_upk+0x12e>
40007d96: 842a mv s0,a0
40007d98: c145 beqz a0,40007e38 <hci_le_set_ext_adv_en_cmd_upk+0xbc>
40007d9a: 00065483 lhu s1,0(a2)
40007d9e: 4a05 li s4,1
40007da0: 94aa add s1,s1,a0
40007da2: 3fce0ab7 lui s5,0x3fce0
40007da6: f8caa783 lw a5,-116(s5) # 3fcdff8c <r_ip_funcs_p>
40007daa: 00d58933 add s2,a1,a3
40007dae: c822 sw s0,16(sp)
40007db0: 0a47a783 lw a5,164(a5)
40007db4: 4705 li a4,1
40007db6: 86a6 mv a3,s1
40007db8: 864a mv a2,s2
40007dba: 080c addi a1,sp,16
40007dbc: 0068 addi a0,sp,12
40007dbe: 9782 jalr a5
40007dc0: 89aa mv s3,a0
40007dc2: e939 bnez a0,40007e18 <hci_le_set_ext_adv_en_cmd_upk+0x9c>
40007dc4: 00140793 addi a5,s0,1
40007dc8: c83e sw a5,16(sp)
40007dca: f8caa783 lw a5,-116(s5)
40007dce: 4705 li a4,1
40007dd0: 86a6 mv a3,s1
40007dd2: 0a47a783 lw a5,164(a5)
40007dd6: 864a mv a2,s2
40007dd8: 080c addi a1,sp,16
40007dda: 0068 addi a0,sp,12
40007ddc: 9782 jalr a5
40007dde: 89aa mv s3,a0
40007de0: ed05 bnez a0,40007e18 <hci_le_set_ext_adv_en_cmd_upk+0x9c>
40007de2: 00144703 lbu a4,1(s0)
40007de6: 03f00793 li a5,63
40007dea: 00e7ff63 bgeu a5,a4,40007e08 <hci_le_set_ext_adv_en_cmd_upk+0x8c>
40007dee: 3fce07b7 lui a5,0x3fce0
40007df2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40007df6: 6605 lui a2,0x1
40007df8: 3ff1a5b7 lui a1,0x3ff1a
40007dfc: 479c lw a5,8(a5)
40007dfe: 86d60613 addi a2,a2,-1939 # 86d <RvExcFrameSize+0x7dd>
40007e02: 5e858593 addi a1,a1,1512 # 3ff1a5e8 <CSWTCH.72+0x2bc>
40007e06: 9782 jalr a5
40007e08: 000a1363 bnez s4,40007e0e <hci_le_set_ext_adv_en_cmd_upk+0x92>
40007e0c: 4481 li s1,0
40007e0e: 4a01 li s4,0
40007e10: 00144783 lbu a5,1(s0)
40007e14: 02fa6763 bltu s4,a5,40007e42 <hci_le_set_ext_adv_en_cmd_upk+0xc6>
40007e18: 47c2 lw a5,16(sp)
40007e1a: 40878433 sub s0,a5,s0
40007e1e: 008b1023 sh s0,0(s6)
40007e22: 40f6 lw ra,92(sp)
40007e24: 4466 lw s0,88(sp)
40007e26: 854e mv a0,s3
40007e28: 44d6 lw s1,84(sp)
40007e2a: 4946 lw s2,80(sp)
40007e2c: 49b6 lw s3,76(sp)
40007e2e: 4a26 lw s4,72(sp)
40007e30: 4a96 lw s5,68(sp)
40007e32: 4b06 lw s6,64(sp)
40007e34: 6125 addi sp,sp,96
40007e36: 8082 ret
40007e38: 4a01 li s4,0
40007e3a: 03e10493 addi s1,sp,62
40007e3e: 0840 addi s0,sp,20
40007e40: b78d j 40007da2 <hci_le_set_ext_adv_en_cmd_upk+0x26>
40007e42: 002a0793 addi a5,s4,2
40007e46: 97a2 add a5,a5,s0
40007e48: c83e sw a5,16(sp)
40007e4a: f8caa783 lw a5,-116(s5)
40007e4e: 4705 li a4,1
40007e50: 86a6 mv a3,s1
40007e52: 0a47a783 lw a5,164(a5)
40007e56: 864a mv a2,s2
40007e58: 080c addi a1,sp,16
40007e5a: 0068 addi a0,sp,12
40007e5c: 9782 jalr a5
40007e5e: e521 bnez a0,40007ea6 <hci_le_set_ext_adv_en_cmd_upk+0x12a>
40007e60: 006a0793 addi a5,s4,6
40007e64: 0786 slli a5,a5,0x1
40007e66: 97a2 add a5,a5,s0
40007e68: c83e sw a5,16(sp)
40007e6a: f8caa783 lw a5,-116(s5)
40007e6e: 4709 li a4,2
40007e70: 86a6 mv a3,s1
40007e72: 0a47a783 lw a5,164(a5)
40007e76: 864a mv a2,s2
40007e78: 080c addi a1,sp,16
40007e7a: 0068 addi a0,sp,12
40007e7c: 9782 jalr a5
40007e7e: e505 bnez a0,40007ea6 <hci_le_set_ext_adv_en_cmd_upk+0x12a>
40007e80: 020a0793 addi a5,s4,32
40007e84: 97a2 add a5,a5,s0
40007e86: c83e sw a5,16(sp)
40007e88: f8caa783 lw a5,-116(s5)
40007e8c: 4705 li a4,1
40007e8e: 86a6 mv a3,s1
40007e90: 0a47a783 lw a5,164(a5)
40007e94: 864a mv a2,s2
40007e96: 080c addi a1,sp,16
40007e98: 0068 addi a0,sp,12
40007e9a: 9782 jalr a5
40007e9c: e509 bnez a0,40007ea6 <hci_le_set_ext_adv_en_cmd_upk+0x12a>
40007e9e: 0a05 addi s4,s4,1
40007ea0: 0ffa7a13 andi s4,s4,255
40007ea4: b7b5 j 40007e10 <hci_le_set_ext_adv_en_cmd_upk+0x94>
40007ea6: 89aa mv s3,a0
40007ea8: bf85 j 40007e18 <hci_le_set_ext_adv_en_cmd_upk+0x9c>
40007eaa: 02a00793 li a5,42
40007eae: 00f61023 sh a5,0(a2)
40007eb2: 4981 li s3,0
40007eb4: b7bd j 40007e22 <hci_le_set_ext_adv_en_cmd_upk+0xa6>
40007eb6 <hci_le_adv_report_evt_pkupk>:
40007eb6: 7159 addi sp,sp,-112
40007eb8: c6de sw s7,76(sp)
40007eba: d686 sw ra,108(sp)
40007ebc: d4a2 sw s0,104(sp)
40007ebe: d2a6 sw s1,100(sp)
40007ec0: d0ca sw s2,96(sp)
40007ec2: cece sw s3,92(sp)
40007ec4: ccd2 sw s4,88(sp)
40007ec6: cad6 sw s5,84(sp)
40007ec8: c8da sw s6,80(sp)
40007eca: c4e2 sw s8,72(sp)
40007ecc: 8bb2 mv s7,a2
40007ece: 14058063 beqz a1,4000800e <hci_le_adv_report_evt_pkupk+0x158>
40007ed2: 8b2a mv s6,a0
40007ed4: 8aae mv s5,a1
40007ed6: cd35 beqz a0,40007f52 <hci_le_adv_report_evt_pkupk+0x9c>
40007ed8: 00065483 lhu s1,0(a2)
40007edc: 94aa add s1,s1,a0
40007ede: 3fce09b7 lui s3,0x3fce0
40007ee2: f8c9a783 lw a5,-116(s3) # 3fcdff8c <r_ip_funcs_p>
40007ee6: 00da8933 add s2,s5,a3
40007eea: c85a sw s6,16(sp)
40007eec: 0a47a783 lw a5,164(a5)
40007ef0: c656 sw s5,12(sp)
40007ef2: 4705 li a4,1
40007ef4: 86a6 mv a3,s1
40007ef6: 864a mv a2,s2
40007ef8: 080c addi a1,sp,16
40007efa: 0068 addi a0,sp,12
40007efc: 9782 jalr a5
40007efe: 842a mv s0,a0
40007f00: e51d bnez a0,40007f2e <hci_le_adv_report_evt_pkupk+0x78>
40007f02: 001a8793 addi a5,s5,1
40007f06: c63e sw a5,12(sp)
40007f08: f8c9a783 lw a5,-116(s3)
40007f0c: 4705 li a4,1
40007f0e: 86a6 mv a3,s1
40007f10: 0a47a783 lw a5,164(a5)
40007f14: 864a mv a2,s2
40007f16: 080c addi a1,sp,16
40007f18: 0068 addi a0,sp,12
40007f1a: 9782 jalr a5
40007f1c: 842a mv s0,a0
40007f1e: e901 bnez a0,40007f2e <hci_le_adv_report_evt_pkupk+0x78>
40007f20: 00aa8a13 addi s4,s5,10
40007f24: 4c01 li s8,0
40007f26: 001ac783 lbu a5,1(s5)
40007f2a: 02fc4963 blt s8,a5,40007f5c <hci_le_adv_report_evt_pkupk+0xa6>
40007f2e: 47c2 lw a5,16(sp)
40007f30: 41678b33 sub s6,a5,s6
40007f34: 016b9023 sh s6,0(s7)
40007f38: 8522 mv a0,s0
40007f3a: 50b6 lw ra,108(sp)
40007f3c: 5426 lw s0,104(sp)
40007f3e: 5496 lw s1,100(sp)
40007f40: 5906 lw s2,96(sp)
40007f42: 49f6 lw s3,92(sp)
40007f44: 4a66 lw s4,88(sp)
40007f46: 4ad6 lw s5,84(sp)
40007f48: 4b46 lw s6,80(sp)
40007f4a: 4bb6 lw s7,76(sp)
40007f4c: 4c26 lw s8,72(sp)
40007f4e: 6165 addi sp,sp,112
40007f50: 8082 ret
40007f52: 03f10493 addi s1,sp,63
40007f56: 01410b13 addi s6,sp,20
40007f5a: b751 j 40007ede <hci_le_adv_report_evt_pkupk+0x28>
40007f5c: ff8a0793 addi a5,s4,-8
40007f60: c63e sw a5,12(sp)
40007f62: f8c9a783 lw a5,-116(s3)
40007f66: 4705 li a4,1
40007f68: 86a6 mv a3,s1
40007f6a: 0a47a783 lw a5,164(a5)
40007f6e: 864a mv a2,s2
40007f70: 080c addi a1,sp,16
40007f72: 0068 addi a0,sp,12
40007f74: 9782 jalr a5
40007f76: e951 bnez a0,4000800a <hci_le_adv_report_evt_pkupk+0x154>
40007f78: ff9a0793 addi a5,s4,-7
40007f7c: c63e sw a5,12(sp)
40007f7e: f8c9a783 lw a5,-116(s3)
40007f82: 4705 li a4,1
40007f84: 86a6 mv a3,s1
40007f86: 0a47a783 lw a5,164(a5)
40007f8a: 864a mv a2,s2
40007f8c: 080c addi a1,sp,16
40007f8e: 0068 addi a0,sp,12
40007f90: 9782 jalr a5
40007f92: ed25 bnez a0,4000800a <hci_le_adv_report_evt_pkupk+0x154>
40007f94: ffaa0793 addi a5,s4,-6
40007f98: c63e sw a5,12(sp)
40007f9a: f8c9a783 lw a5,-116(s3)
40007f9e: 4719 li a4,6
40007fa0: 86a6 mv a3,s1
40007fa2: 0a47a783 lw a5,164(a5)
40007fa6: 864a mv a2,s2
40007fa8: 080c addi a1,sp,16
40007faa: 0068 addi a0,sp,12
40007fac: 9782 jalr a5
40007fae: ed31 bnez a0,4000800a <hci_le_adv_report_evt_pkupk+0x154>
40007fb0: f8c9a783 lw a5,-116(s3)
40007fb4: c652 sw s4,12(sp)
40007fb6: 4705 li a4,1
40007fb8: 0a47a783 lw a5,164(a5)
40007fbc: 86a6 mv a3,s1
40007fbe: 864a mv a2,s2
40007fc0: 080c addi a1,sp,16
40007fc2: 0068 addi a0,sp,12
40007fc4: 9782 jalr a5
40007fc6: e131 bnez a0,4000800a <hci_le_adv_report_evt_pkupk+0x154>
40007fc8: 001a0793 addi a5,s4,1
40007fcc: c63e sw a5,12(sp)
40007fce: f8c9a783 lw a5,-116(s3)
40007fd2: 000a4703 lbu a4,0(s4)
40007fd6: 86a6 mv a3,s1
40007fd8: 0a47a783 lw a5,164(a5)
40007fdc: 864a mv a2,s2
40007fde: 080c addi a1,sp,16
40007fe0: 0068 addi a0,sp,12
40007fe2: 9782 jalr a5
40007fe4: e11d bnez a0,4000800a <hci_le_adv_report_evt_pkupk+0x154>
40007fe6: 020a0793 addi a5,s4,32
40007fea: c63e sw a5,12(sp)
40007fec: f8c9a783 lw a5,-116(s3)
40007ff0: 4705 li a4,1
40007ff2: 86a6 mv a3,s1
40007ff4: 0a47a783 lw a5,164(a5)
40007ff8: 864a mv a2,s2
40007ffa: 080c addi a1,sp,16
40007ffc: 0068 addi a0,sp,12
40007ffe: 9782 jalr a5
40008000: 029a0a13 addi s4,s4,41
40008004: e119 bnez a0,4000800a <hci_le_adv_report_evt_pkupk+0x154>
40008006: 0c05 addi s8,s8,1
40008008: bf39 j 40007f26 <hci_le_adv_report_evt_pkupk+0x70>
4000800a: 842a mv s0,a0
4000800c: b70d j 40007f2e <hci_le_adv_report_evt_pkupk+0x78>
4000800e: 00061023 sh zero,0(a2)
40008012: 4401 li s0,0
40008014: b715 j 40007f38 <hci_le_adv_report_evt_pkupk+0x82>
40008016 <hci_le_dir_adv_report_evt_pkupk>:
40008016: 715d addi sp,sp,-80
40008018: d65e sw s7,44(sp)
4000801a: c686 sw ra,76(sp)
4000801c: c4a2 sw s0,72(sp)
4000801e: c2a6 sw s1,68(sp)
40008020: c0ca sw s2,64(sp)
40008022: de4e sw s3,60(sp)
40008024: dc52 sw s4,56(sp)
40008026: da56 sw s5,52(sp)
40008028: d85a sw s6,48(sp)
4000802a: d462 sw s8,40(sp)
4000802c: 8bb2 mv s7,a2
4000802e: 12058e63 beqz a1,4000816a <hci_le_dir_adv_report_evt_pkupk+0x154>
40008032: 8b2a mv s6,a0
40008034: 8aae mv s5,a1
40008036: cd35 beqz a0,400080b2 <hci_le_dir_adv_report_evt_pkupk+0x9c>
40008038: 00065483 lhu s1,0(a2)
4000803c: 94aa add s1,s1,a0
4000803e: 3fce09b7 lui s3,0x3fce0
40008042: f8c9a783 lw a5,-116(s3) # 3fcdff8c <r_ip_funcs_p>
40008046: 00da8933 add s2,s5,a3
4000804a: c45a sw s6,8(sp)
4000804c: 0a47a783 lw a5,164(a5)
40008050: c256 sw s5,4(sp)
40008052: 4705 li a4,1
40008054: 86a6 mv a3,s1
40008056: 864a mv a2,s2
40008058: 002c addi a1,sp,8
4000805a: 0048 addi a0,sp,4
4000805c: 9782 jalr a5
4000805e: 842a mv s0,a0
40008060: e51d bnez a0,4000808e <hci_le_dir_adv_report_evt_pkupk+0x78>
40008062: 001a8793 addi a5,s5,1
40008066: c23e sw a5,4(sp)
40008068: f8c9a783 lw a5,-116(s3)
4000806c: 4705 li a4,1
4000806e: 86a6 mv a3,s1
40008070: 0a47a783 lw a5,164(a5)
40008074: 864a mv a2,s2
40008076: 002c addi a1,sp,8
40008078: 0048 addi a0,sp,4
4000807a: 9782 jalr a5
4000807c: 842a mv s0,a0
4000807e: e901 bnez a0,4000808e <hci_le_dir_adv_report_evt_pkupk+0x78>
40008080: 011a8a13 addi s4,s5,17
40008084: 4c01 li s8,0
40008086: 001ac783 lbu a5,1(s5)
4000808a: 02fc4963 blt s8,a5,400080bc <hci_le_dir_adv_report_evt_pkupk+0xa6>
4000808e: 47a2 lw a5,8(sp)
40008090: 41678b33 sub s6,a5,s6
40008094: 016b9023 sh s6,0(s7)
40008098: 8522 mv a0,s0
4000809a: 40b6 lw ra,76(sp)
4000809c: 4426 lw s0,72(sp)
4000809e: 4496 lw s1,68(sp)
400080a0: 4906 lw s2,64(sp)
400080a2: 59f2 lw s3,60(sp)
400080a4: 5a62 lw s4,56(sp)
400080a6: 5ad2 lw s5,52(sp)
400080a8: 5b42 lw s6,48(sp)
400080aa: 5bb2 lw s7,44(sp)
400080ac: 5c22 lw s8,40(sp)
400080ae: 6161 addi sp,sp,80
400080b0: 8082 ret
400080b2: 01e10493 addi s1,sp,30
400080b6: 00c10b13 addi s6,sp,12
400080ba: b751 j 4000803e <hci_le_dir_adv_report_evt_pkupk+0x28>
400080bc: ff1a0793 addi a5,s4,-15
400080c0: c23e sw a5,4(sp)
400080c2: f8c9a783 lw a5,-116(s3)
400080c6: 4705 li a4,1
400080c8: 86a6 mv a3,s1
400080ca: 0a47a783 lw a5,164(a5)
400080ce: 864a mv a2,s2
400080d0: 002c addi a1,sp,8
400080d2: 0048 addi a0,sp,4
400080d4: 9782 jalr a5
400080d6: e941 bnez a0,40008166 <hci_le_dir_adv_report_evt_pkupk+0x150>
400080d8: ff2a0793 addi a5,s4,-14
400080dc: c23e sw a5,4(sp)
400080de: f8c9a783 lw a5,-116(s3)
400080e2: 4705 li a4,1
400080e4: 86a6 mv a3,s1
400080e6: 0a47a783 lw a5,164(a5)
400080ea: 864a mv a2,s2
400080ec: 002c addi a1,sp,8
400080ee: 0048 addi a0,sp,4
400080f0: 9782 jalr a5
400080f2: e935 bnez a0,40008166 <hci_le_dir_adv_report_evt_pkupk+0x150>
400080f4: ff3a0793 addi a5,s4,-13
400080f8: c23e sw a5,4(sp)
400080fa: f8c9a783 lw a5,-116(s3)
400080fe: 4719 li a4,6
40008100: 86a6 mv a3,s1
40008102: 0a47a783 lw a5,164(a5)
40008106: 864a mv a2,s2
40008108: 002c addi a1,sp,8
4000810a: 0048 addi a0,sp,4
4000810c: 9782 jalr a5
4000810e: ed21 bnez a0,40008166 <hci_le_dir_adv_report_evt_pkupk+0x150>
40008110: ff9a0793 addi a5,s4,-7
40008114: c23e sw a5,4(sp)
40008116: f8c9a783 lw a5,-116(s3)
4000811a: 4705 li a4,1
4000811c: 86a6 mv a3,s1
4000811e: 0a47a783 lw a5,164(a5)
40008122: 864a mv a2,s2
40008124: 002c addi a1,sp,8
40008126: 0048 addi a0,sp,4
40008128: 9782 jalr a5
4000812a: ed15 bnez a0,40008166 <hci_le_dir_adv_report_evt_pkupk+0x150>
4000812c: ffaa0793 addi a5,s4,-6
40008130: c23e sw a5,4(sp)
40008132: f8c9a783 lw a5,-116(s3)
40008136: 4719 li a4,6
40008138: 86a6 mv a3,s1
4000813a: 0a47a783 lw a5,164(a5)
4000813e: 864a mv a2,s2
40008140: 002c addi a1,sp,8
40008142: 0048 addi a0,sp,4
40008144: 9782 jalr a5
40008146: e105 bnez a0,40008166 <hci_le_dir_adv_report_evt_pkupk+0x150>
40008148: f8c9a783 lw a5,-116(s3)
4000814c: c252 sw s4,4(sp)
4000814e: 4705 li a4,1
40008150: 0a47a783 lw a5,164(a5)
40008154: 86a6 mv a3,s1
40008156: 864a mv a2,s2
40008158: 002c addi a1,sp,8
4000815a: 0048 addi a0,sp,4
4000815c: 9782 jalr a5
4000815e: 0a41 addi s4,s4,16
40008160: e119 bnez a0,40008166 <hci_le_dir_adv_report_evt_pkupk+0x150>
40008162: 0c05 addi s8,s8,1
40008164: b70d j 40008086 <hci_le_dir_adv_report_evt_pkupk+0x70>
40008166: 842a mv s0,a0
40008168: b71d j 4000808e <hci_le_dir_adv_report_evt_pkupk+0x78>
4000816a: 00061023 sh zero,0(a2)
4000816e: 4401 li s0,0
40008170: b725 j 40008098 <hci_le_dir_adv_report_evt_pkupk+0x82>
40008172 <hci_le_ext_adv_report_evt_pkupk>:
40008172: 7129 addi sp,sp,-320
40008174: 11712e23 sw s7,284(sp)
40008178: 12112e23 sw ra,316(sp)
4000817c: 12812c23 sw s0,312(sp)
40008180: 12912a23 sw s1,308(sp)
40008184: 13212823 sw s2,304(sp)
40008188: 13312623 sw s3,300(sp)
4000818c: 13412423 sw s4,296(sp)
40008190: 13512223 sw s5,292(sp)
40008194: 13612023 sw s6,288(sp)
40008198: 11812c23 sw s8,280(sp)
4000819c: 8bb2 mv s7,a2
4000819e: 20058f63 beqz a1,400083bc <hci_le_ext_adv_report_evt_pkupk+0x24a>
400081a2: 8b2a mv s6,a0
400081a4: 8aae mv s5,a1
400081a6: c941 beqz a0,40008236 <hci_le_ext_adv_report_evt_pkupk+0xc4>
400081a8: 00065483 lhu s1,0(a2)
400081ac: 94aa add s1,s1,a0
400081ae: 3fce09b7 lui s3,0x3fce0
400081b2: f8c9a783 lw a5,-116(s3) # 3fcdff8c <r_ip_funcs_p>
400081b6: 00da8933 add s2,s5,a3
400081ba: c65a sw s6,12(sp)
400081bc: 0a47a783 lw a5,164(a5)
400081c0: c456 sw s5,8(sp)
400081c2: 4705 li a4,1
400081c4: 86a6 mv a3,s1
400081c6: 864a mv a2,s2
400081c8: 006c addi a1,sp,12
400081ca: 0028 addi a0,sp,8
400081cc: 9782 jalr a5
400081ce: 842a mv s0,a0
400081d0: e51d bnez a0,400081fe <hci_le_ext_adv_report_evt_pkupk+0x8c>
400081d2: 001a8793 addi a5,s5,1
400081d6: c43e sw a5,8(sp)
400081d8: f8c9a783 lw a5,-116(s3)
400081dc: 4705 li a4,1
400081de: 86a6 mv a3,s1
400081e0: 0a47a783 lw a5,164(a5)
400081e4: 864a mv a2,s2
400081e6: 006c addi a1,sp,12
400081e8: 0028 addi a0,sp,8
400081ea: 9782 jalr a5
400081ec: 842a mv s0,a0
400081ee: e901 bnez a0,400081fe <hci_le_ext_adv_report_evt_pkupk+0x8c>
400081f0: 019a8a13 addi s4,s5,25
400081f4: 4c01 li s8,0
400081f6: 001ac783 lbu a5,1(s5)
400081fa: 04fc4263 blt s8,a5,4000823e <hci_le_ext_adv_report_evt_pkupk+0xcc>
400081fe: 47b2 lw a5,12(sp)
40008200: 41678b33 sub s6,a5,s6
40008204: 016b9023 sh s6,0(s7)
40008208: 8522 mv a0,s0
4000820a: 13c12083 lw ra,316(sp)
4000820e: 13812403 lw s0,312(sp)
40008212: 13412483 lw s1,308(sp)
40008216: 13012903 lw s2,304(sp)
4000821a: 12c12983 lw s3,300(sp)
4000821e: 12812a03 lw s4,296(sp)
40008222: 12412a83 lw s5,292(sp)
40008226: 12012b03 lw s6,288(sp)
4000822a: 11c12b83 lw s7,284(sp)
4000822e: 11812c03 lw s8,280(sp)
40008232: 6131 addi sp,sp,320
40008234: 8082 ret
40008236: 0a04 addi s1,sp,272
40008238: 01010b13 addi s6,sp,16
4000823c: bf8d j 400081ae <hci_le_ext_adv_report_evt_pkupk+0x3c>
4000823e: fe9a0793 addi a5,s4,-23
40008242: c43e sw a5,8(sp)
40008244: f8c9a783 lw a5,-116(s3)
40008248: 4709 li a4,2
4000824a: 86a6 mv a3,s1
4000824c: 0a47a783 lw a5,164(a5)
40008250: 864a mv a2,s2
40008252: 006c addi a1,sp,12
40008254: 0028 addi a0,sp,8
40008256: 9782 jalr a5
40008258: 16051063 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
4000825c: feba0793 addi a5,s4,-21
40008260: c43e sw a5,8(sp)
40008262: f8c9a783 lw a5,-116(s3)
40008266: 4705 li a4,1
40008268: 86a6 mv a3,s1
4000826a: 0a47a783 lw a5,164(a5)
4000826e: 864a mv a2,s2
40008270: 006c addi a1,sp,12
40008272: 0028 addi a0,sp,8
40008274: 9782 jalr a5
40008276: 14051163 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
4000827a: feca0793 addi a5,s4,-20
4000827e: c43e sw a5,8(sp)
40008280: f8c9a783 lw a5,-116(s3)
40008284: 4719 li a4,6
40008286: 86a6 mv a3,s1
40008288: 0a47a783 lw a5,164(a5)
4000828c: 864a mv a2,s2
4000828e: 006c addi a1,sp,12
40008290: 0028 addi a0,sp,8
40008292: 9782 jalr a5
40008294: 12051263 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
40008298: ff2a0793 addi a5,s4,-14
4000829c: c43e sw a5,8(sp)
4000829e: f8c9a783 lw a5,-116(s3)
400082a2: 4705 li a4,1
400082a4: 86a6 mv a3,s1
400082a6: 0a47a783 lw a5,164(a5)
400082aa: 864a mv a2,s2
400082ac: 006c addi a1,sp,12
400082ae: 0028 addi a0,sp,8
400082b0: 9782 jalr a5
400082b2: 10051363 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
400082b6: ff3a0793 addi a5,s4,-13
400082ba: c43e sw a5,8(sp)
400082bc: f8c9a783 lw a5,-116(s3)
400082c0: 4705 li a4,1
400082c2: 86a6 mv a3,s1
400082c4: 0a47a783 lw a5,164(a5)
400082c8: 864a mv a2,s2
400082ca: 006c addi a1,sp,12
400082cc: 0028 addi a0,sp,8
400082ce: 9782 jalr a5
400082d0: e565 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
400082d2: ff4a0793 addi a5,s4,-12
400082d6: c43e sw a5,8(sp)
400082d8: f8c9a783 lw a5,-116(s3)
400082dc: 4705 li a4,1
400082de: 86a6 mv a3,s1
400082e0: 0a47a783 lw a5,164(a5)
400082e4: 864a mv a2,s2
400082e6: 006c addi a1,sp,12
400082e8: 0028 addi a0,sp,8
400082ea: 9782 jalr a5
400082ec: e571 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
400082ee: ff5a0793 addi a5,s4,-11
400082f2: c43e sw a5,8(sp)
400082f4: f8c9a783 lw a5,-116(s3)
400082f8: 4705 li a4,1
400082fa: 86a6 mv a3,s1
400082fc: 0a47a783 lw a5,164(a5)
40008300: 864a mv a2,s2
40008302: 006c addi a1,sp,12
40008304: 0028 addi a0,sp,8
40008306: 9782 jalr a5
40008308: e945 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
4000830a: ff6a0793 addi a5,s4,-10
4000830e: c43e sw a5,8(sp)
40008310: f8c9a783 lw a5,-116(s3)
40008314: 4705 li a4,1
40008316: 86a6 mv a3,s1
40008318: 0a47a783 lw a5,164(a5)
4000831c: 864a mv a2,s2
4000831e: 006c addi a1,sp,12
40008320: 0028 addi a0,sp,8
40008322: 9782 jalr a5
40008324: e951 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
40008326: ff7a0793 addi a5,s4,-9
4000832a: c43e sw a5,8(sp)
4000832c: f8c9a783 lw a5,-116(s3)
40008330: 4709 li a4,2
40008332: 86a6 mv a3,s1
40008334: 0a47a783 lw a5,164(a5)
40008338: 864a mv a2,s2
4000833a: 006c addi a1,sp,12
4000833c: 0028 addi a0,sp,8
4000833e: 9782 jalr a5
40008340: ed25 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
40008342: ff9a0793 addi a5,s4,-7
40008346: c43e sw a5,8(sp)
40008348: f8c9a783 lw a5,-116(s3)
4000834c: 4705 li a4,1
4000834e: 86a6 mv a3,s1
40008350: 0a47a783 lw a5,164(a5)
40008354: 864a mv a2,s2
40008356: 006c addi a1,sp,12
40008358: 0028 addi a0,sp,8
4000835a: 9782 jalr a5
4000835c: ed31 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
4000835e: ffaa0793 addi a5,s4,-6
40008362: c43e sw a5,8(sp)
40008364: f8c9a783 lw a5,-116(s3)
40008368: 4719 li a4,6
4000836a: 86a6 mv a3,s1
4000836c: 0a47a783 lw a5,164(a5)
40008370: 864a mv a2,s2
40008372: 006c addi a1,sp,12
40008374: 0028 addi a0,sp,8
40008376: 9782 jalr a5
40008378: e121 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
4000837a: f8c9a783 lw a5,-116(s3)
4000837e: c452 sw s4,8(sp)
40008380: 4705 li a4,1
40008382: 0a47a783 lw a5,164(a5)
40008386: 86a6 mv a3,s1
40008388: 864a mv a2,s2
4000838a: 006c addi a1,sp,12
4000838c: 0028 addi a0,sp,8
4000838e: 9782 jalr a5
40008390: e505 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
40008392: 001a0793 addi a5,s4,1
40008396: c43e sw a5,8(sp)
40008398: f8c9a783 lw a5,-116(s3)
4000839c: 000a4703 lbu a4,0(s4)
400083a0: 86a6 mv a3,s1
400083a2: 0a47a783 lw a5,164(a5)
400083a6: 864a mv a2,s2
400083a8: 006c addi a1,sp,12
400083aa: 0028 addi a0,sp,8
400083ac: 9782 jalr a5
400083ae: 0fea0a13 addi s4,s4,254
400083b2: e119 bnez a0,400083b8 <hci_le_ext_adv_report_evt_pkupk+0x246>
400083b4: 0c05 addi s8,s8,1
400083b6: b581 j 400081f6 <hci_le_ext_adv_report_evt_pkupk+0x84>
400083b8: 842a mv s0,a0
400083ba: b591 j 400081fe <hci_le_ext_adv_report_evt_pkupk+0x8c>
400083bc: 00061023 sh zero,0(a2)
400083c0: 4401 li s0,0
400083c2: b599 j 40008208 <hci_le_ext_adv_report_evt_pkupk+0x96>
400083c4 <r_hci_register_vendor_desc_tab>:
400083c4: 3fce07b7 lui a5,0x3fce0
400083c8: 3fce0737 lui a4,0x3fce0
400083cc: efc78793 addi a5,a5,-260 # 3fcdfefc <esp_vendor_cmd>
400083d0: 9d070713 addi a4,a4,-1584 # 3fcdf9d0 <hci_cmd_desc_tab_vs_esp>
400083d4: c398 sw a4,0(a5)
400083d6: 470d li a4,3
400083d8: 00e79223 sh a4,4(a5)
400083dc: 8082 ret
400083de <r_hci_pack_bytes>:
400083de: 1101 addi sp,sp,-32
400083e0: c84a sw s2,16(sp)
400083e2: 892e mv s2,a1
400083e4: 410c lw a1,0(a0)
400083e6: cc22 sw s0,24(sp)
400083e8: ce06 sw ra,28(sp)
400083ea: ca26 sw s1,20(sp)
400083ec: c64e sw s3,12(sp)
400083ee: 00e587b3 add a5,a1,a4
400083f2: 4405 li s0,1
400083f4: 02f66a63 bltu a2,a5,40008428 <r_hci_pack_bytes+0x4a>
400083f8: 84ba mv s1,a4
400083fa: 89aa mv s3,a0
400083fc: 4401 li s0,0
400083fe: ca99 beqz a3,40008414 <r_hci_pack_bytes+0x36>
40008400: 00092503 lw a0,0(s2)
40008404: 863a mv a2,a4
40008406: 00e50433 add s0,a0,a4
4000840a: 0086b433 sltu s0,a3,s0
4000840e: 0406 slli s0,s0,0x1
40008410: 3aa500ef jal ra,400587ba <memcpy>
40008414: 0009a783 lw a5,0(s3)
40008418: 97a6 add a5,a5,s1
4000841a: 00f9a023 sw a5,0(s3)
4000841e: 00092703 lw a4,0(s2)
40008422: 94ba add s1,s1,a4
40008424: 00992023 sw s1,0(s2)
40008428: 8522 mv a0,s0
4000842a: 40f2 lw ra,28(sp)
4000842c: 4462 lw s0,24(sp)
4000842e: 44d2 lw s1,20(sp)
40008430: 4942 lw s2,16(sp)
40008432: 49b2 lw s3,12(sp)
40008434: 6105 addi sp,sp,32
40008436: 8082 ret
40008438 <r_hci_look_for_cmd_desc>:
40008438: 00a55713 srli a4,a0,0xa
4000843c: 4785 li a5,1
4000843e: 3ff57613 andi a2,a0,1023
40008442: 06f70363 beq a4,a5,400084a8 <r_hci_look_for_cmd_desc+0x70>
40008446: 478d li a5,3
40008448: 06f70263 beq a4,a5,400084ac <r_hci_look_for_cmd_desc+0x74>
4000844c: 4791 li a5,4
4000844e: 06f70163 beq a4,a5,400084b0 <r_hci_look_for_cmd_desc+0x78>
40008452: 4795 li a5,5
40008454: 06f70063 beq a4,a5,400084b4 <r_hci_look_for_cmd_desc+0x7c>
40008458: 47a1 li a5,8
4000845a: 04f70f63 beq a4,a5,400084b8 <r_hci_look_for_cmd_desc+0x80>
4000845e: 03f00693 li a3,63
40008462: 4781 li a5,0
40008464: 04d71063 bne a4,a3,400084a4 <r_hci_look_for_cmd_desc+0x6c>
40008468: 4795 li a5,5
4000846a: 3ff1f737 lui a4,0x3ff1f
4000846e: 078e slli a5,a5,0x3
40008470: 4d870713 addi a4,a4,1240 # 3ff1f4d8 <hci_cmd_desc_root_tab>
40008474: 973e add a4,a4,a5
40008476: 435c lw a5,4(a4)
40008478: 00275583 lhu a1,2(a4)
4000847c: 4701 li a4,0
4000847e: eba9 bnez a5,400084d0 <r_hci_look_for_cmd_desc+0x98>
40008480: 6741 lui a4,0x10
40008482: c8070713 addi a4,a4,-896 # fc80 <RvExcFrameSize+0xfbf0>
40008486: 4781 li a5,0
40008488: 00a77e63 bgeu a4,a0,400084a4 <r_hci_look_for_cmd_desc+0x6c>
4000848c: 3fce0737 lui a4,0x3fce0
40008490: efc70713 addi a4,a4,-260 # 3fcdfefc <esp_vendor_cmd>
40008494: 431c lw a5,0(a4)
40008496: c799 beqz a5,400084a4 <r_hci_look_for_cmd_desc+0x6c>
40008498: 00475583 lhu a1,4(a4)
4000849c: 4701 li a4,0
4000849e: 02e59c63 bne a1,a4,400084d6 <r_hci_look_for_cmd_desc+0x9e>
400084a2: 4781 li a5,0
400084a4: 853e mv a0,a5
400084a6: 8082 ret
400084a8: 4781 li a5,0
400084aa: b7c1 j 4000846a <r_hci_look_for_cmd_desc+0x32>
400084ac: 4785 li a5,1
400084ae: bf75 j 4000846a <r_hci_look_for_cmd_desc+0x32>
400084b0: 4789 li a5,2
400084b2: bf65 j 4000846a <r_hci_look_for_cmd_desc+0x32>
400084b4: 478d li a5,3
400084b6: bf55 j 4000846a <r_hci_look_for_cmd_desc+0x32>
400084b8: 4791 li a5,4
400084ba: bf45 j 4000846a <r_hci_look_for_cmd_desc+0x32>
400084bc: 0007d683 lhu a3,0(a5)
400084c0: 3ff6f693 andi a3,a3,1023
400084c4: fec680e3 beq a3,a2,400084a4 <r_hci_look_for_cmd_desc+0x6c>
400084c8: 0705 addi a4,a4,1
400084ca: 0742 slli a4,a4,0x10
400084cc: 07b1 addi a5,a5,12
400084ce: 8341 srli a4,a4,0x10
400084d0: feb716e3 bne a4,a1,400084bc <r_hci_look_for_cmd_desc+0x84>
400084d4: b775 j 40008480 <r_hci_look_for_cmd_desc+0x48>
400084d6: 0007d683 lhu a3,0(a5)
400084da: 3ff6f693 andi a3,a3,1023
400084de: fcc683e3 beq a3,a2,400084a4 <r_hci_look_for_cmd_desc+0x6c>
400084e2: 0705 addi a4,a4,1
400084e4: 0742 slli a4,a4,0x10
400084e6: 07b1 addi a5,a5,12
400084e8: 8341 srli a4,a4,0x10
400084ea: bf55 j 4000849e <r_hci_look_for_cmd_desc+0x66>
400084ec <r_hci_look_for_evt_desc>:
400084ec: 3ff1f7b7 lui a5,0x3ff1f
400084f0: ff878693 addi a3,a5,-8 # 3ff1eff8 <hci_evt_desc_tab>
400084f4: 4701 li a4,0
400084f6: ff878793 addi a5,a5,-8
400084fa: 4625 li a2,9
400084fc: 0006c583 lbu a1,0(a3)
40008500: 00a59663 bne a1,a0,4000850c <r_hci_look_for_evt_desc+0x20>
40008504: 00371513 slli a0,a4,0x3
40008508: 953e add a0,a0,a5
4000850a: 8082 ret
4000850c: 0705 addi a4,a4,1
4000850e: 06a1 addi a3,a3,8
40008510: fec716e3 bne a4,a2,400084fc <r_hci_look_for_evt_desc+0x10>
40008514: 4501 li a0,0
40008516: 8082 ret
40008518 <r_hci_look_for_le_evt_desc>:
40008518: 3ff1f7b7 lui a5,0x3ff1f
4000851c: f5878693 addi a3,a5,-168 # 3ff1ef58 <hci_evt_le_desc_tab>
40008520: 4701 li a4,0
40008522: f5878793 addi a5,a5,-168
40008526: 4651 li a2,20
40008528: 0006c583 lbu a1,0(a3)
4000852c: 00a59663 bne a1,a0,40008538 <r_hci_look_for_le_evt_desc+0x20>
40008530: 00371513 slli a0,a4,0x3
40008534: 953e add a0,a0,a5
40008536: 8082 ret
40008538: 0705 addi a4,a4,1
4000853a: 06a1 addi a3,a3,8
4000853c: fec716e3 bne a4,a2,40008528 <r_hci_look_for_le_evt_desc+0x10>
40008540: 4501 li a0,0
40008542: 8082 ret
40008544 <r_hci_look_for_le_evt_desc_esp>:
40008544: 0f000793 li a5,240
40008548: 00f50463 beq a0,a5,40008550 <r_hci_look_for_le_evt_desc_esp+0xc>
4000854c: 4501 li a0,0
4000854e: 8082 ret
40008550: 3ff1f537 lui a0,0x3ff1f
40008554: f5050513 addi a0,a0,-176 # 3ff1ef50 <hci_evt_le_desc_tab_esp>
40008558: 8082 ret
4000855a <r_hci_evt_mask_check>:
4000855a: 00455703 lhu a4,4(a0)
4000855e: 6785 lui a5,0x1
40008560: 10378693 addi a3,a5,259 # 1103 <RvExcFrameSize+0x1073>
40008564: 00d70963 beq a4,a3,40008576 <r_hci_evt_mask_check+0x1c>
40008568: 10478793 addi a5,a5,260
4000856c: 06f70a63 beq a4,a5,400085e0 <r_hci_evt_mask_check+0x86>
40008570: 4781 li a5,0
40008572: 853e mv a0,a5
40008574: 8082 ret
40008576: 00854703 lbu a4,8(a0)
4000857a: 03f00793 li a5,63
4000857e: 06e7e463 bltu a5,a4,400085e6 <r_hci_evt_mask_check+0x8c>
40008582: fff70793 addi a5,a4,-1
40008586: 0ff7f793 andi a5,a5,255
4000858a: 3fce06b7 lui a3,0x3fce0
4000858e: 0037d613 srli a2,a5,0x3
40008592: e3868693 addi a3,a3,-456 # 3fcdfe38 <hci_env>
40008596: 9636 add a2,a2,a3
40008598: 00064603 lbu a2,0(a2)
4000859c: 8b9d andi a5,a5,7
4000859e: 40f65633 sra a2,a2,a5
400085a2: fff64793 not a5,a2
400085a6: 8a05 andi a2,a2,1
400085a8: 8b85 andi a5,a5,1
400085aa: d661 beqz a2,40008572 <r_hci_evt_mask_check+0x18>
400085ac: 03e00613 li a2,62
400085b0: fcc711e3 bne a4,a2,40008572 <r_hci_evt_mask_check+0x18>
400085b4: 00c54703 lbu a4,12(a0)
400085b8: 0ef00613 li a2,239
400085bc: 4781 li a5,0
400085be: fae66ae3 bltu a2,a4,40008572 <r_hci_evt_mask_check+0x18>
400085c2: 177d addi a4,a4,-1
400085c4: 0ff77713 andi a4,a4,255
400085c8: 00375793 srli a5,a4,0x3
400085cc: 96be add a3,a3,a5
400085ce: 0106c783 lbu a5,16(a3)
400085d2: 8b1d andi a4,a4,7
400085d4: 40e7d7b3 sra a5,a5,a4
400085d8: fff7c793 not a5,a5
400085dc: 8b85 andi a5,a5,1
400085de: bf51 j 40008572 <r_hci_evt_mask_check+0x18>
400085e0: 03e00713 li a4,62
400085e4: bf79 j 40008582 <r_hci_evt_mask_check+0x28>
400085e6: 05800693 li a3,88
400085ea: 4781 li a5,0
400085ec: f8e6e3e3 bltu a3,a4,40008572 <r_hci_evt_mask_check+0x18>
400085f0: fc070713 addi a4,a4,-64
400085f4: 0ff77713 andi a4,a4,255
400085f8: 3fce07b7 lui a5,0x3fce0
400085fc: 00375693 srli a3,a4,0x3
40008600: e3878793 addi a5,a5,-456 # 3fcdfe38 <hci_env>
40008604: 97b6 add a5,a5,a3
40008606: 0087c783 lbu a5,8(a5)
4000860a: b7e1 j 400085d2 <r_hci_evt_mask_check+0x78>
4000860c <r_hci_send_2_host>:
4000860c: 1101 addi sp,sp,-32
4000860e: c84a sw s2,16(sp)
40008610: 3fce0937 lui s2,0x3fce0
40008614: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
40008618: ca26 sw s1,20(sp)
4000861a: ff450493 addi s1,a0,-12
4000861e: 5ffc lw a5,124(a5)
40008620: cc22 sw s0,24(sp)
40008622: ce06 sw ra,28(sp)
40008624: c64e sw s3,12(sp)
40008626: c452 sw s4,8(sp)
40008628: 842a mv s0,a0
4000862a: 8526 mv a0,s1
4000862c: 9782 jalr a5
4000862e: c909 beqz a0,40008640 <r_hci_send_2_host+0x34>
40008630: 3fce07b7 lui a5,0x3fce0
40008634: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008638: 0d87a303 lw t1,216(a5)
4000863c: 8526 mv a0,s1
4000863e: a21d j 40008764 <r_hci_send_2_host+0x158>
40008640: 3fce07b7 lui a5,0x3fce0
40008644: e347c783 lbu a5,-460(a5) # 3fcdfe34 <hci_ext_host>
40008648: 16079963 bnez a5,400087ba <r_hci_send_2_host+0x1ae>
4000864c: ff845783 lhu a5,-8(s0)
40008650: 76fd lui a3,0xfffff
40008652: eff68693 addi a3,a3,-257 # ffffeeff <SPIMEM0+0x9fffbeff>
40008656: 97b6 add a5,a5,a3
40008658: 07c2 slli a5,a5,0x10
4000865a: 83c1 srli a5,a5,0x10
4000865c: 4695 li a3,5
4000865e: 874a mv a4,s2
40008660: 3fce0937 lui s2,0x3fce0
40008664: 02f6e463 bltu a3,a5,4000868c <r_hci_send_2_host+0x80>
40008668: 3ff1a6b7 lui a3,0x3ff1a
4000866c: 078a slli a5,a5,0x2
4000866e: c2868693 addi a3,a3,-984 # 3ff19c28 <_rodata_start+0x28>
40008672: 97b6 add a5,a5,a3
40008674: 439c lw a5,0(a5)
40008676: 8782 jr a5
40008678: ffc45503 lhu a0,-4(s0)
4000867c: 12050b63 beqz a0,400087b2 <r_hci_send_2_host+0x1a6>
40008680: f8c72783 lw a5,-116(a4)
40008684: 0907a783 lw a5,144(a5)
40008688: 9782 jalr a5
4000868a: e105 bnez a0,400086aa <r_hci_send_2_host+0x9e>
4000868c: 4511 li a0,4
4000868e: 3fce07b7 lui a5,0x3fce0
40008692: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008696: 3ff1b637 lui a2,0x3ff1b
4000869a: 3cd00693 li a3,973
4000869e: 47dc lw a5,12(a5)
400086a0: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
400086a4: ff845583 lhu a1,-8(s0)
400086a8: a0cd j 4000878a <r_hci_send_2_host+0x17e>
400086aa: 00254783 lbu a5,2(a0)
400086ae: 8791 srai a5,a5,0x4
400086b0: 8b8d andi a5,a5,3
400086b2: 4705 li a4,1
400086b4: 06e78163 beq a5,a4,40008716 <r_hci_send_2_host+0x10a>
400086b8: cfed beqz a5,400087b2 <r_hci_send_2_host+0x1a6>
400086ba: 4709 li a4,2
400086bc: 450d li a0,3
400086be: fce798e3 bne a5,a4,4000868e <r_hci_send_2_host+0x82>
400086c2: 3fce09b7 lui s3,0x3fce0
400086c6: f809a783 lw a5,-128(s3) # 3fcdff80 <r_plf_funcs_p>
400086ca: ffa45a03 lhu s4,-6(s0)
400086ce: 5f9c lw a5,56(a5)
400086d0: 9782 jalr a5
400086d2: 00d54783 lbu a5,13(a0)
400086d6: 0efa6063 bltu s4,a5,400087b6 <r_hci_send_2_host+0x1aa>
400086da: f809a783 lw a5,-128(s3)
400086de: 3ff1b637 lui a2,0x3ff1b
400086e2: 3c500693 li a3,965
400086e6: 47dc lw a5,12(a5)
400086e8: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
400086ec: ffa45583 lhu a1,-6(s0)
400086f0: a859 j 40008786 <r_hci_send_2_host+0x17a>
400086f2: f8c72783 lw a5,-116(a4)
400086f6: ffc44503 lbu a0,-4(s0)
400086fa: 0987a783 lw a5,152(a5)
400086fe: 9782 jalr a5
40008700: d551 beqz a0,4000868c <r_hci_send_2_host+0x80>
40008702: 00154783 lbu a5,1(a0)
40008706: b76d j 400086b0 <r_hci_send_2_host+0xa4>
40008708: f8c72783 lw a5,-116(a4)
4000870c: 00044503 lbu a0,0(s0)
40008710: 09c7a783 lw a5,156(a5)
40008714: b7ed j 400086fe <r_hci_send_2_host+0xf2>
40008716: 3fce09b7 lui s3,0x3fce0
4000871a: f809a783 lw a5,-128(s3) # 3fcdff80 <r_plf_funcs_p>
4000871e: ffa45a03 lhu s4,-6(s0)
40008722: 5f9c lw a5,56(a5)
40008724: 9782 jalr a5
40008726: 00d54783 lbu a5,13(a0)
4000872a: ffa45583 lhu a1,-6(s0)
4000872e: 04fa7363 bgeu s4,a5,40008774 <r_hci_send_2_host+0x168>
40008732: 3fce07b7 lui a5,0x3fce0
40008736: f907a783 lw a5,-112(a5) # 3fcdff90 <r_hli_funcs_p>
4000873a: 852e mv a0,a1
4000873c: 4bdc lw a5,20(a5)
4000873e: 9782 jalr a5
40008740: 0ff00713 li a4,255
40008744: 47a5 li a5,9
40008746: 00e50863 beq a0,a4,40008756 <r_hci_send_2_host+0x14a>
4000874a: 0522 slli a0,a0,0x8
4000874c: 00956513 ori a0,a0,9
40008750: 01051793 slli a5,a0,0x10
40008754: 83c1 srli a5,a5,0x10
40008756: fef41d23 sh a5,-6(s0)
4000875a: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
4000875e: 8522 mv a0,s0
40008760: 0e07a303 lw t1,224(a5)
40008764: 4462 lw s0,24(sp)
40008766: 40f2 lw ra,28(sp)
40008768: 44d2 lw s1,20(sp)
4000876a: 4942 lw s2,16(sp)
4000876c: 49b2 lw s3,12(sp)
4000876e: 4a22 lw s4,8(sp)
40008770: 6105 addi sp,sp,32
40008772: 8302 jr t1
40008774: f809a783 lw a5,-128(s3)
40008778: 3ff1b637 lui a2,0x3ff1b
4000877c: 3b400693 li a3,948
40008780: 47dc lw a5,12(a5)
40008782: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
40008786: ff845503 lhu a0,-8(s0)
4000878a: 9782 jalr a5
4000878c: 3fce07b7 lui a5,0x3fce0
40008790: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008794: ffc45583 lhu a1,-4(s0)
40008798: ff845503 lhu a0,-8(s0)
4000879c: 47dc lw a5,12(a5)
4000879e: 3ff1b637 lui a2,0x3ff1b
400087a2: 40000693 li a3,1024
400087a6: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
400087aa: 9782 jalr a5
400087ac: f8892783 lw a5,-120(s2)
400087b0: b561 j 40008638 <r_hci_send_2_host+0x2c>
400087b2: 47a1 li a5,8
400087b4: b74d j 40008756 <r_hci_send_2_host+0x14a>
400087b6: 4795 li a5,5
400087b8: bf79 j 40008756 <r_hci_send_2_host+0x14a>
400087ba: f8c92783 lw a5,-116(s2)
400087be: 05c7a303 lw t1,92(a5)
400087c2: bdad j 4000863c <r_hci_send_2_host+0x30>
400087c4 <r_hci_send_2_controller>:
400087c4: 3fce07b7 lui a5,0x3fce0
400087c8: e347c783 lbu a5,-460(a5) # 3fcdfe34 <hci_ext_host>
400087cc: 1101 addi sp,sp,-32
400087ce: c84a sw s2,16(sp)
400087d0: c64e sw s3,12(sp)
400087d2: ce06 sw ra,28(sp)
400087d4: cc22 sw s0,24(sp)
400087d6: ca26 sw s1,20(sp)
400087d8: ff450993 addi s3,a0,-12
400087dc: 3fce0937 lui s2,0x3fce0
400087e0: ebe9 bnez a5,400088b2 <r_hci_send_2_controller+0xee>
400087e2: ff855703 lhu a4,-8(a0)
400087e6: 6785 lui a5,0x1
400087e8: 10578693 addi a3,a5,261 # 1105 <RvExcFrameSize+0x1075>
400087ec: 84aa mv s1,a0
400087ee: 04d70f63 beq a4,a3,4000884c <r_hci_send_2_controller+0x88>
400087f2: 10678793 addi a5,a5,262
400087f6: 02f71563 bne a4,a5,40008820 <r_hci_send_2_controller+0x5c>
400087fa: 0014c403 lbu s0,1(s1)
400087fe: 0004c783 lbu a5,0(s1)
40008802: 0422 slli s0,s0,0x8
40008804: 8c5d or s0,s0,a5
40008806: 6785 lui a5,0x1
40008808: 17fd addi a5,a5,-1
4000880a: 8c7d and s0,s0,a5
4000880c: 3fce07b7 lui a5,0x3fce0
40008810: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008814: 539c lw a5,32(a5)
40008816: 9782 jalr a5
40008818: 00054783 lbu a5,0(a0)
4000881c: 04f44e63 blt s0,a5,40008878 <r_hci_send_2_controller+0xb4>
40008820: 3fce07b7 lui a5,0x3fce0
40008824: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008828: ffc4d583 lhu a1,-4(s1)
4000882c: ff84d503 lhu a0,-8(s1)
40008830: 4b9c lw a5,16(a5)
40008832: 3ff1b637 lui a2,0x3ff1b
40008836: 49300693 li a3,1171
4000883a: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
4000883e: 9782 jalr a5
40008840: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
40008844: 854e mv a0,s3
40008846: 0d87a303 lw t1,216(a5)
4000884a: a899 j 400088a0 <r_hci_send_2_controller+0xdc>
4000884c: 3fce07b7 lui a5,0x3fce0
40008850: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40008854: ffc55503 lhu a0,-4(a0)
40008858: 0907a783 lw a5,144(a5)
4000885c: 9782 jalr a5
4000885e: d169 beqz a0,40008820 <r_hci_send_2_controller+0x5c>
40008860: 00254783 lbu a5,2(a0)
40008864: 4705 li a4,1
40008866: 8bbd andi a5,a5,15
40008868: f8e789e3 beq a5,a4,400087fa <r_hci_send_2_controller+0x36>
4000886c: c3a9 beqz a5,400088ae <r_hci_send_2_controller+0xea>
4000886e: 4709 li a4,2
40008870: 02e78f63 beq a5,a4,400088ae <r_hci_send_2_controller+0xea>
40008874: 470d li a4,3
40008876: b741 j 400087f6 <r_hci_send_2_controller+0x32>
40008878: 3fce07b7 lui a5,0x3fce0
4000887c: e3878793 addi a5,a5,-456 # 3fcdfe38 <hci_env>
40008880: 97a2 add a5,a5,s0
40008882: 0187c783 lbu a5,24(a5)
40008886: dfc9 beqz a5,40008820 <r_hci_send_2_controller+0x5c>
40008888: 0422 slli s0,s0,0x8
4000888a: 00146413 ori s0,s0,1
4000888e: 0442 slli s0,s0,0x10
40008890: 8041 srli s0,s0,0x10
40008892: f8892783 lw a5,-120(s2)
40008896: fe849d23 sh s0,-6(s1)
4000889a: 8526 mv a0,s1
4000889c: 0e07a303 lw t1,224(a5)
400088a0: 4462 lw s0,24(sp)
400088a2: 40f2 lw ra,28(sp)
400088a4: 44d2 lw s1,20(sp)
400088a6: 4942 lw s2,16(sp)
400088a8: 49b2 lw s3,12(sp)
400088aa: 6105 addi sp,sp,32
400088ac: 8302 jr t1
400088ae: 4401 li s0,0
400088b0: b7cd j 40008892 <r_hci_send_2_controller+0xce>
400088b2: 3fce07b7 lui a5,0x3fce0
400088b6: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400088ba: 3ff1b5b7 lui a1,0x3ff1b
400088be: 49d00613 li a2,1181
400088c2: 479c lw a5,8(a5)
400088c4: 96c58593 addi a1,a1,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
400088c8: 4501 li a0,0
400088ca: 9782 jalr a5
400088cc: bf95 j 40008840 <r_hci_send_2_controller+0x7c>
400088ce <r_hci_basic_cmd_send_2_controller>:
400088ce: 3fce07b7 lui a5,0x3fce0
400088d2: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400088d6: 1141 addi sp,sp,-16
400088d8: 862a mv a2,a0
400088da: 0c87a783 lw a5,200(a5)
400088de: 6505 lui a0,0x1
400088e0: c606 sw ra,12(sp)
400088e2: 4681 li a3,0
400088e4: 4581 li a1,0
400088e6: 10550513 addi a0,a0,261 # 1105 <RvExcFrameSize+0x1075>
400088ea: 9782 jalr a5
400088ec: 3fce07b7 lui a5,0x3fce0
400088f0: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400088f4: 40b2 lw ra,12(sp)
400088f6: 0887a303 lw t1,136(a5)
400088fa: 0141 addi sp,sp,16
400088fc: 8302 jr t1
400088fe <r_hci_ble_conhdl_register>:
400088fe: 1141 addi sp,sp,-16
40008900: c226 sw s1,4(sp)
40008902: 3fce04b7 lui s1,0x3fce0
40008906: f804a783 lw a5,-128(s1) # 3fcdff80 <r_plf_funcs_p>
4000890a: c04a sw s2,0(sp)
4000890c: c606 sw ra,12(sp)
4000890e: 5f9c lw a5,56(a5)
40008910: c422 sw s0,8(sp)
40008912: 892a mv s2,a0
40008914: 9782 jalr a5
40008916: 00d54783 lbu a5,13(a0)
4000891a: 02f96163 bltu s2,a5,4000893c <r_hci_ble_conhdl_register+0x3e>
4000891e: f804a783 lw a5,-128(s1)
40008922: 47c0 lw s0,12(a5)
40008924: 5f9c lw a5,56(a5)
40008926: 9782 jalr a5
40008928: 00d54583 lbu a1,13(a0)
4000892c: 3ff1b637 lui a2,0x3ff1b
40008930: 4b100693 li a3,1201
40008934: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
40008938: 854a mv a0,s2
4000893a: 9402 jalr s0
4000893c: 3fce0537 lui a0,0x3fce0
40008940: e3850793 addi a5,a0,-456 # 3fcdfe38 <hci_env>
40008944: 97ca add a5,a5,s2
40008946: 0187c783 lbu a5,24(a5)
4000894a: e3850413 addi s0,a0,-456
4000894e: cf81 beqz a5,40008966 <r_hci_ble_conhdl_register+0x68>
40008950: f804a783 lw a5,-128(s1)
40008954: 3ff1b5b7 lui a1,0x3ff1b
40008958: 4b200613 li a2,1202
4000895c: 479c lw a5,8(a5)
4000895e: 96c58593 addi a1,a1,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
40008962: 4501 li a0,0
40008964: 9782 jalr a5
40008966: 01240533 add a0,s0,s2
4000896a: 40b2 lw ra,12(sp)
4000896c: 4422 lw s0,8(sp)
4000896e: 4785 li a5,1
40008970: 00f50c23 sb a5,24(a0)
40008974: 4492 lw s1,4(sp)
40008976: 4902 lw s2,0(sp)
40008978: 0141 addi sp,sp,16
4000897a: 8082 ret
4000897c <r_hci_ble_conhdl_unregister>:
4000897c: 1141 addi sp,sp,-16
4000897e: c226 sw s1,4(sp)
40008980: 3fce04b7 lui s1,0x3fce0
40008984: f804a783 lw a5,-128(s1) # 3fcdff80 <r_plf_funcs_p>
40008988: c04a sw s2,0(sp)
4000898a: c606 sw ra,12(sp)
4000898c: 5f9c lw a5,56(a5)
4000898e: c422 sw s0,8(sp)
40008990: 892a mv s2,a0
40008992: 9782 jalr a5
40008994: 00d54783 lbu a5,13(a0)
40008998: 02f96163 bltu s2,a5,400089ba <r_hci_ble_conhdl_unregister+0x3e>
4000899c: f804a783 lw a5,-128(s1)
400089a0: 47c0 lw s0,12(a5)
400089a2: 5f9c lw a5,56(a5)
400089a4: 9782 jalr a5
400089a6: 00d54583 lbu a1,13(a0)
400089aa: 3ff1b637 lui a2,0x3ff1b
400089ae: 4ba00693 li a3,1210
400089b2: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
400089b6: 854a mv a0,s2
400089b8: 9402 jalr s0
400089ba: 3fce0537 lui a0,0x3fce0
400089be: e3850793 addi a5,a0,-456 # 3fcdfe38 <hci_env>
400089c2: 97ca add a5,a5,s2
400089c4: 0187c783 lbu a5,24(a5)
400089c8: e3850413 addi s0,a0,-456
400089cc: ef81 bnez a5,400089e4 <r_hci_ble_conhdl_unregister+0x68>
400089ce: f804a783 lw a5,-128(s1)
400089d2: 3ff1b5b7 lui a1,0x3ff1b
400089d6: 4bb00613 li a2,1211
400089da: 479c lw a5,8(a5)
400089dc: 96c58593 addi a1,a1,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
400089e0: 4501 li a0,0
400089e2: 9782 jalr a5
400089e4: 01240533 add a0,s0,s2
400089e8: 40b2 lw ra,12(sp)
400089ea: 4422 lw s0,8(sp)
400089ec: 00050c23 sb zero,24(a0)
400089f0: 4492 lw s1,4(sp)
400089f2: 4902 lw s2,0(sp)
400089f4: 0141 addi sp,sp,16
400089f6: 8082 ret
400089f8 <r_hci_evt_mask_set>:
400089f8: 1141 addi sp,sp,-16
400089fa: c606 sw ra,12(sp)
400089fc: c422 sw s0,8(sp)
400089fe: 4791 li a5,4
40008a00: 08b7eb63 bltu a5,a1,40008a96 <r_hci_evt_mask_set+0x9e>
40008a04: 3ff1a737 lui a4,0x3ff1a
40008a08: 00259793 slli a5,a1,0x2
40008a0c: c4070713 addi a4,a4,-960 # 3ff19c40 <_rodata_start+0x40>
40008a10: 97ba add a5,a5,a4
40008a12: 439c lw a5,0(a5)
40008a14: 8782 jr a5
40008a16: 3fce07b7 lui a5,0x3fce0
40008a1a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008a1e: 3ff1b637 lui a2,0x3ff1b
40008a22: 4ea00693 li a3,1258
40008a26: 47dc lw a5,12(a5)
40008a28: 96c60613 addi a2,a2,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
40008a2c: 852e mv a0,a1
40008a2e: 9782 jalr a5
40008a30: 40b2 lw ra,12(sp)
40008a32: 4422 lw s0,8(sp)
40008a34: 4501 li a0,0
40008a36: 0141 addi sp,sp,16
40008a38: 8082 ret
40008a3a: 85aa mv a1,a0
40008a3c: 3fce0537 lui a0,0x3fce0
40008a40: 4621 li a2,8
40008a42: e4050513 addi a0,a0,-448 # 3fcdfe40 <hci_env+0x8>
40008a46: 5754f0ef jal ra,400587ba <memcpy>
40008a4a: b7dd j 40008a30 <r_hci_evt_mask_set+0x38>
40008a4c: 3fce0437 lui s0,0x3fce0
40008a50: 85aa mv a1,a0
40008a52: 4621 li a2,8
40008a54: e3840513 addi a0,s0,-456 # 3fcdfe38 <hci_env>
40008a58: 5634f0ef jal ra,400587ba <memcpy>
40008a5c: 3ff1f637 lui a2,0x3ff1f
40008a60: e3840793 addi a5,s0,-456
40008a64: 4701 li a4,0
40008a66: f4860613 addi a2,a2,-184 # 3ff1ef48 <hci_rsvd_evt_msk>
40008a6a: 45a1 li a1,8
40008a6c: 00e606b3 add a3,a2,a4
40008a70: 0007c503 lbu a0,0(a5)
40008a74: 0006c683 lbu a3,0(a3)
40008a78: 0705 addi a4,a4,1
40008a7a: 0785 addi a5,a5,1
40008a7c: 8ec9 or a3,a3,a0
40008a7e: fed78fa3 sb a3,-1(a5)
40008a82: feb715e3 bne a4,a1,40008a6c <r_hci_evt_mask_set+0x74>
40008a86: b76d j 40008a30 <r_hci_evt_mask_set+0x38>
40008a88: 85aa mv a1,a0
40008a8a: 3fce0537 lui a0,0x3fce0
40008a8e: 4621 li a2,8
40008a90: e4850513 addi a0,a0,-440 # 3fcdfe48 <hci_env+0x10>
40008a94: bf4d j 40008a46 <r_hci_evt_mask_set+0x4e>
40008a96: 3fce07b7 lui a5,0x3fce0
40008a9a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008a9e: 3ff1b5b7 lui a1,0x3ff1b
40008aa2: 50500613 li a2,1285
40008aa6: 479c lw a5,8(a5)
40008aa8: 96c58593 addi a1,a1,-1684 # 3ff1a96c <llc_hci_command_handler_tab+0x9c>
40008aac: 4501 li a0,0
40008aae: 9782 jalr a5
40008ab0: b741 j 40008a30 <r_hci_evt_mask_set+0x38>
40008ab2 <r_hci_ble_adv_report_filter_check>:
40008ab2: 00455703 lhu a4,4(a0)
40008ab6: 6785 lui a5,0x1
40008ab8: 10478793 addi a5,a5,260 # 1104 <RvExcFrameSize+0x1074>
40008abc: 00f71d63 bne a4,a5,40008ad6 <r_hci_ble_adv_report_filter_check+0x24>
40008ac0: 00c54783 lbu a5,12(a0)
40008ac4: 4735 li a4,13
40008ac6: 00e78a63 beq a5,a4,40008ada <r_hci_ble_adv_report_filter_check+0x28>
40008aca: 473d li a4,15
40008acc: 06e78663 beq a5,a4,40008b38 <r_hci_ble_adv_report_filter_check+0x86>
40008ad0: 4709 li a4,2
40008ad2: 04e78a63 beq a5,a4,40008b26 <r_hci_ble_adv_report_filter_check+0x74>
40008ad6: 4501 li a0,0
40008ad8: 8082 ret
40008ada: 00e55783 lhu a5,14(a0)
40008ade: 01754603 lbu a2,23(a0)
40008ae2: 3fce06b7 lui a3,0x3fce0
40008ae6: 8795 srai a5,a5,0x5
40008ae8: 4705 li a4,1
40008aea: 8b8d andi a5,a5,3
40008aec: e0068693 addi a3,a3,-512 # 3fcdfe00 <hci_tl_env>
40008af0: 02e61263 bne a2,a4,40008b14 <r_hci_ble_adv_report_filter_check+0x62>
40008af4: 0196c703 lbu a4,25(a3)
40008af8: 4589 li a1,2
40008afa: 08b70c63 beq a4,a1,40008b92 <r_hci_ble_adv_report_filter_check+0xe0>
40008afe: e711 bnez a4,40008b0a <r_hci_ble_adv_report_filter_check+0x58>
40008b00: 0186c583 lbu a1,24(a3)
40008b04: 470d li a4,3
40008b06: 08b76663 bltu a4,a1,40008b92 <r_hci_ble_adv_report_filter_check+0xe0>
40008b0a: 17fd addi a5,a5,-1
40008b0c: 0017b793 seqz a5,a5
40008b10: 4701 li a4,0
40008b12: a069 j 40008b9c <r_hci_ble_adv_report_filter_check+0xea>
40008b14: 01a6c703 lbu a4,26(a3)
40008b18: b7c5 j 40008af8 <r_hci_ble_adv_report_filter_check+0x46>
40008b1a: 4705 li a4,1
40008b1c: 4781 li a5,0
40008b1e: a8bd j 40008b9c <r_hci_ble_adv_report_filter_check+0xea>
40008b20: 00f68d23 sb a5,26(a3)
40008b24: a049 j 40008ba6 <r_hci_ble_adv_report_filter_check+0xf4>
40008b26: 3fce07b7 lui a5,0x3fce0
40008b2a: e187c703 lbu a4,-488(a5) # 3fcdfe18 <hci_tl_env+0x18>
40008b2e: 00473713 sltiu a4,a4,4
40008b32: 00174713 xori a4,a4,1
40008b36: a885 j 40008ba6 <r_hci_ble_adv_report_filter_check+0xf4>
40008b38: 00e54683 lbu a3,14(a0)
40008b3c: 3fce07b7 lui a5,0x3fce0
40008b40: e0078613 addi a2,a5,-512 # 3fcdfe00 <hci_tl_env>
40008b44: 9636 add a2,a2,a3
40008b46: 01b64603 lbu a2,27(a2)
40008b4a: 4589 li a1,2
40008b4c: 01354703 lbu a4,19(a0)
40008b50: e0078793 addi a5,a5,-512
40008b54: 06b60463 beq a2,a1,40008bbc <r_hci_ble_adv_report_filter_check+0x10a>
40008b58: 0187c583 lbu a1,24(a5)
40008b5c: e601 bnez a2,40008b64 <r_hci_ble_adv_report_filter_check+0xb2>
40008b5e: 460d li a2,3
40008b60: 04b66e63 bltu a2,a1,40008bbc <r_hci_ble_adv_report_filter_check+0x10a>
40008b64: 177d addi a4,a4,-1
40008b66: 97b6 add a5,a5,a3
40008b68: 00173713 seqz a4,a4
40008b6c: 00e78da3 sb a4,27(a5)
40008b70: a825 j 40008ba8 <r_hci_ble_adv_report_filter_check+0xf6>
40008b72: 4709 li a4,2
40008b74: 00e78da3 sb a4,27(a5)
40008b78: 3fce07b7 lui a5,0x3fce0
40008b7c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008b80: 1141 addi sp,sp,-16
40008b82: c606 sw ra,12(sp)
40008b84: 0d87a783 lw a5,216(a5)
40008b88: 9782 jalr a5
40008b8a: 40b2 lw ra,12(sp)
40008b8c: 4505 li a0,1
40008b8e: 0141 addi sp,sp,16
40008b90: 8082 ret
40008b92: 4705 li a4,1
40008b94: f8e793e3 bne a5,a4,40008b1a <r_hci_ble_adv_report_filter_check+0x68>
40008b98: 4705 li a4,1
40008b9a: 4789 li a5,2
40008b9c: 4585 li a1,1
40008b9e: f8b611e3 bne a2,a1,40008b20 <r_hci_ble_adv_report_filter_check+0x6e>
40008ba2: 00f68ca3 sb a5,25(a3)
40008ba6: fb69 bnez a4,40008b78 <r_hci_ble_adv_report_filter_check+0xc6>
40008ba8: 3fce07b7 lui a5,0x3fce0
40008bac: e0078793 addi a5,a5,-512 # 3fcdfe00 <hci_tl_env>
40008bb0: 0187c703 lbu a4,24(a5)
40008bb4: 0705 addi a4,a4,1
40008bb6: 00e78c23 sb a4,24(a5)
40008bba: bf31 j 40008ad6 <r_hci_ble_adv_report_filter_check+0x24>
40008bbc: 4605 li a2,1
40008bbe: 97b6 add a5,a5,a3
40008bc0: fac709e3 beq a4,a2,40008b72 <r_hci_ble_adv_report_filter_check+0xc0>
40008bc4: 00078da3 sb zero,27(a5)
40008bc8: bf45 j 40008b78 <r_hci_ble_adv_report_filter_check+0xc6>
40008bca <r_hci_ble_adv_report_tx_check>:
40008bca: 00455703 lhu a4,4(a0)
40008bce: 6785 lui a5,0x1
40008bd0: 10478793 addi a5,a5,260 # 1104 <RvExcFrameSize+0x1074>
40008bd4: 04f71463 bne a4,a5,40008c1c <r_hci_ble_adv_report_tx_check+0x52>
40008bd8: 00c54783 lbu a5,12(a0)
40008bdc: 4709 li a4,2
40008bde: 00e78763 beq a5,a4,40008bec <r_hci_ble_adv_report_tx_check+0x22>
40008be2: 0fd7f793 andi a5,a5,253
40008be6: 4735 li a4,13
40008be8: 02e79a63 bne a5,a4,40008c1c <r_hci_ble_adv_report_tx_check+0x52>
40008bec: 3fce07b7 lui a5,0x3fce0
40008bf0: e0078793 addi a5,a5,-512 # 3fcdfe00 <hci_tl_env>
40008bf4: 0187c703 lbu a4,24(a5)
40008bf8: ef19 bnez a4,40008c16 <r_hci_ble_adv_report_tx_check+0x4c>
40008bfa: 3fce07b7 lui a5,0x3fce0
40008bfe: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008c02: 3ff1a5b7 lui a1,0x3ff1a
40008c06: 13d00613 li a2,317
40008c0a: 0087a303 lw t1,8(a5)
40008c0e: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008c12: 4501 li a0,0
40008c14: 8302 jr t1
40008c16: 177d addi a4,a4,-1
40008c18: 00e78c23 sb a4,24(a5)
40008c1c: 8082 ret
40008c1e <r_hci_cmd_reject>:
40008c1e: 7139 addi sp,sp,-64
40008c20: dc22 sw s0,56(sp)
40008c22: da26 sw s1,52(sp)
40008c24: de06 sw ra,60(sp)
40008c26: d84a sw s2,48(sp)
40008c28: d64e sw s3,44(sp)
40008c2a: d452 sw s4,40(sp)
40008c2c: d256 sw s5,36(sp)
40008c2e: 842e mv s0,a1
40008c30: 84b2 mv s1,a2
40008c32: 12050c63 beqz a0,40008d6a <r_hci_cmd_reject+0x14c>
40008c36: 4518 lw a4,8(a0)
40008c38: 892a mv s2,a0
40008c3a: 10070463 beqz a4,40008d42 <r_hci_cmd_reject+0x124>
40008c3e: 00250783 lb a5,2(a0)
40008c42: 8a36 mv s4,a3
40008c44: 0607c963 bltz a5,40008cb6 <r_hci_cmd_reject+0x98>
40008c48: 3fce07b7 lui a5,0x3fce0
40008c4c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008c50: 66c1 lui a3,0x10
40008c52: 16fd addi a3,a3,-1
40008c54: 4f9c lw a5,24(a5)
40008c56: 01e10613 addi a2,sp,30
40008c5a: 4581 li a1,0
40008c5c: 4501 li a0,0
40008c5e: 9782 jalr a5
40008c60: e95d bnez a0,40008d16 <r_hci_cmd_reject+0xf8>
40008c62: 00892a83 lw s5,8(s2)
40008c66: 3ff1a5b7 lui a1,0x3ff1a
40008c6a: 4609 li a2,2
40008c6c: 66858593 addi a1,a1,1640 # 3ff1a668 <CSWTCH.72+0x33c>
40008c70: 8556 mv a0,s5
40008c72: 3014f0ef jal ra,40058772 <memcmp>
40008c76: 3fce07b7 lui a5,0x3fce0
40008c7a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008c7e: 01e15683 lhu a3,30(sp)
40008c82: 3fce0937 lui s2,0x3fce0
40008c86: 0c87a983 lw s3,200(a5)
40008c8a: ed1d bnez a0,40008cc8 <r_hci_cmd_reject+0xaa>
40008c8c: 6505 lui a0,0x1
40008c8e: 8622 mv a2,s0
40008c90: 4581 li a1,0
40008c92: 10150513 addi a0,a0,257 # 1101 <RvExcFrameSize+0x1071>
40008c96: 9982 jalr s3
40008c98: 00950023 sb s1,0(a0)
40008c9c: 000a4703 lbu a4,0(s4)
40008ca0: 00e50123 sb a4,2(a0)
40008ca4: 001a4703 lbu a4,1(s4)
40008ca8: 00e501a3 sb a4,3(a0)
40008cac: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
40008cb0: 08c7a783 lw a5,140(a5)
40008cb4: a881 j 40008d04 <r_hci_cmd_reject+0xe6>
40008cb6: 4681 li a3,0
40008cb8: 01e10613 addi a2,sp,30
40008cbc: 4581 li a1,0
40008cbe: 4501 li a0,0
40008cc0: 9702 jalr a4
40008cc2: 0ff57513 andi a0,a0,255
40008cc6: bf69 j 40008c60 <r_hci_cmd_reject+0x42>
40008cc8: 3ff1a5b7 lui a1,0x3ff1a
40008ccc: 460d li a2,3
40008cce: 6e458593 addi a1,a1,1764 # 3ff1a6e4 <CSWTCH.72+0x3b8>
40008cd2: 8556 mv a0,s5
40008cd4: c636 sw a3,12(sp)
40008cd6: 29d4f0ef jal ra,40058772 <memcmp>
40008cda: 46b2 lw a3,12(sp)
40008cdc: 8622 mv a2,s0
40008cde: 4581 li a1,0
40008ce0: e505 bnez a0,40008d08 <r_hci_cmd_reject+0xea>
40008ce2: 6505 lui a0,0x1
40008ce4: 10150513 addi a0,a0,257 # 1101 <RvExcFrameSize+0x1071>
40008ce8: 9982 jalr s3
40008cea: 842a mv s0,a0
40008cec: 00950023 sb s1,0(a0)
40008cf0: 4619 li a2,6
40008cf2: 85d2 mv a1,s4
40008cf4: 0505 addi a0,a0,1
40008cf6: 2c54f0ef jal ra,400587ba <memcpy>
40008cfa: f8c92783 lw a5,-116(s2)
40008cfe: 8522 mv a0,s0
40008d00: 08c7a783 lw a5,140(a5)
40008d04: 9782 jalr a5
40008d06: a02d j 40008d30 <r_hci_cmd_reject+0x112>
40008d08: 6505 lui a0,0x1
40008d0a: 10150513 addi a0,a0,257 # 1101 <RvExcFrameSize+0x1071>
40008d0e: 9982 jalr s3
40008d10: 00950023 sb s1,0(a0)
40008d14: bf61 j 40008cac <r_hci_cmd_reject+0x8e>
40008d16: 3fce07b7 lui a5,0x3fce0
40008d1a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008d1e: 3ff1a637 lui a2,0x3ff1a
40008d22: 18700693 li a3,391
40008d26: 47dc lw a5,12(a5)
40008d28: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008d2c: 85a2 mv a1,s0
40008d2e: 9782 jalr a5
40008d30: 50f2 lw ra,60(sp)
40008d32: 5462 lw s0,56(sp)
40008d34: 54d2 lw s1,52(sp)
40008d36: 5942 lw s2,48(sp)
40008d38: 59b2 lw s3,44(sp)
40008d3a: 5a22 lw s4,40(sp)
40008d3c: 5a92 lw s5,36(sp)
40008d3e: 6121 addi sp,sp,64
40008d40: 8082 ret
40008d42: 3fce07b7 lui a5,0x3fce0
40008d46: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008d4a: 6505 lui a0,0x1
40008d4c: 862e mv a2,a1
40008d4e: 0c87a783 lw a5,200(a5)
40008d52: 4685 li a3,1
40008d54: 4581 li a1,0
40008d56: 10250513 addi a0,a0,258 # 1102 <RvExcFrameSize+0x1072>
40008d5a: 9782 jalr a5
40008d5c: 00950023 sb s1,0(a0)
40008d60: 3fce07b7 lui a5,0x3fce0
40008d64: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40008d68: b7a1 j 40008cb0 <r_hci_cmd_reject+0x92>
40008d6a: 3fce07b7 lui a5,0x3fce0
40008d6e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008d72: 6505 lui a0,0x1
40008d74: 862e mv a2,a1
40008d76: 0c87a783 lw a5,200(a5)
40008d7a: 4685 li a3,1
40008d7c: 4581 li a1,0
40008d7e: 10150513 addi a0,a0,257 # 1101 <RvExcFrameSize+0x1071>
40008d82: bfe1 j 40008d5a <r_hci_cmd_reject+0x13c>
40008d84 <r_hci_build_cs_evt>:
40008d84: 473d li a4,15
40008d86: 00e503a3 sb a4,7(a0)
40008d8a: 4711 li a4,4
40008d8c: 00855783 lhu a5,8(a0)
40008d90: 00e50423 sb a4,8(a0)
40008d94: 00c54703 lbu a4,12(a0)
40008d98: 00e504a3 sb a4,9(a0)
40008d9c: 3fce0737 lui a4,0x3fce0
40008da0: e1574703 lbu a4,-491(a4) # 3fcdfe15 <hci_tl_env+0x15>
40008da4: 01871693 slli a3,a4,0x18
40008da8: 86e1 srai a3,a3,0x18
40008daa: 0006d363 bgez a3,40008db0 <r_hci_build_cs_evt+0x2c>
40008dae: 4701 li a4,0
40008db0: 00f505a3 sb a5,11(a0)
40008db4: 83a1 srli a5,a5,0x8
40008db6: 00e50523 sb a4,10(a0)
40008dba: 00f50623 sb a5,12(a0)
40008dbe: 051d addi a0,a0,7
40008dc0: 8082 ret
40008dc2 <r_hci_build_cc_evt>:
40008dc2: 00a55783 lhu a5,10(a0)
40008dc6: 1101 addi sp,sp,-32
40008dc8: ca26 sw s1,20(sp)
40008dca: 00f11723 sh a5,14(sp)
40008dce: 3fce07b7 lui a5,0x3fce0
40008dd2: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40008dd6: 00855483 lhu s1,8(a0)
40008dda: cc22 sw s0,24(sp)
40008ddc: 0907a783 lw a5,144(a5)
40008de0: c84a sw s2,16(sp)
40008de2: ce06 sw ra,28(sp)
40008de4: 842a mv s0,a0
40008de6: 00750913 addi s2,a0,7
40008dea: 8526 mv a0,s1
40008dec: 9782 jalr a5
40008dee: cd29 beqz a0,40008e48 <r_hci_build_cc_evt+0x86>
40008df0: 00e15683 lhu a3,14(sp)
40008df4: cab1 beqz a3,40008e48 <r_hci_build_cc_evt+0x86>
40008df6: 4518 lw a4,8(a0)
40008df8: c731 beqz a4,40008e44 <r_hci_build_cc_evt+0x82>
40008dfa: 00250783 lb a5,2(a0)
40008dfe: 00c40593 addi a1,s0,12
40008e02: 0207ca63 bltz a5,40008e36 <r_hci_build_cc_evt+0x74>
40008e06: 3fce07b7 lui a5,0x3fce0
40008e0a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008e0e: 00e10613 addi a2,sp,14
40008e12: 852e mv a0,a1
40008e14: 4b9c lw a5,16(a5)
40008e16: 9782 jalr a5
40008e18: cd05 beqz a0,40008e50 <r_hci_build_cc_evt+0x8e>
40008e1a: 3fce07b7 lui a5,0x3fce0
40008e1e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008e22: 3ff1a637 lui a2,0x3ff1a
40008e26: 1e800693 li a3,488
40008e2a: 47dc lw a5,12(a5)
40008e2c: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008e30: 85a6 mv a1,s1
40008e32: 9782 jalr a5
40008e34: a831 j 40008e50 <r_hci_build_cc_evt+0x8e>
40008e36: 00e10613 addi a2,sp,14
40008e3a: 852e mv a0,a1
40008e3c: 9702 jalr a4
40008e3e: 0ff57513 andi a0,a0,255
40008e42: bfd9 j 40008e18 <r_hci_build_cc_evt+0x56>
40008e44: 4511 li a0,4
40008e46: bfd1 j 40008e1a <r_hci_build_cc_evt+0x58>
40008e48: c4a1 beqz s1,40008e90 <r_hci_build_cc_evt+0xce>
40008e4a: 4785 li a5,1
40008e4c: 00f40623 sb a5,12(s0)
40008e50: 47b9 li a5,14
40008e52: 00f403a3 sb a5,7(s0)
40008e56: 00e14783 lbu a5,14(sp)
40008e5a: 078d addi a5,a5,3
40008e5c: 00f40423 sb a5,8(s0)
40008e60: 3fce07b7 lui a5,0x3fce0
40008e64: e157c783 lbu a5,-491(a5) # 3fcdfe15 <hci_tl_env+0x15>
40008e68: 01879713 slli a4,a5,0x18
40008e6c: 8761 srai a4,a4,0x18
40008e6e: 00075363 bgez a4,40008e74 <r_hci_build_cc_evt+0xb2>
40008e72: 4781 li a5,0
40008e74: 00940523 sb s1,10(s0)
40008e78: 80a1 srli s1,s1,0x8
40008e7a: 009405a3 sb s1,11(s0)
40008e7e: 00f404a3 sb a5,9(s0)
40008e82: 40f2 lw ra,28(sp)
40008e84: 4462 lw s0,24(sp)
40008e86: 854a mv a0,s2
40008e88: 44d2 lw s1,20(sp)
40008e8a: 4942 lw s2,16(sp)
40008e8c: 6105 addi sp,sp,32
40008e8e: 8082 ret
40008e90: 3fce07b7 lui a5,0x3fce0
40008e94: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008e98: 3ff1a637 lui a2,0x3ff1a
40008e9c: 1f200693 li a3,498
40008ea0: 47dc lw a5,12(a5)
40008ea2: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008ea6: 00e15583 lhu a1,14(sp)
40008eaa: 4501 li a0,0
40008eac: b759 j 40008e32 <r_hci_build_cc_evt+0x70>
40008eae <r_hci_build_evt>:
40008eae: 7179 addi sp,sp,-48
40008eb0: d422 sw s0,40(sp)
40008eb2: 842a mv s0,a0
40008eb4: 00a45783 lhu a5,10(s0)
40008eb8: ce4e sw s3,28(sp)
40008eba: 00a50993 addi s3,a0,10
40008ebe: 00855503 lhu a0,8(a0)
40008ec2: d04a sw s2,32(sp)
40008ec4: 00f11723 sh a5,14(sp)
40008ec8: d606 sw ra,44(sp)
40008eca: d226 sw s1,36(sp)
40008ecc: 0ff00793 li a5,255
40008ed0: 0ff57913 andi s2,a0,255
40008ed4: 00a7ff63 bgeu a5,a0,40008ef2 <r_hci_build_evt+0x44>
40008ed8: 3fce07b7 lui a5,0x3fce0
40008edc: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008ee0: 3ff1a637 lui a2,0x3ff1a
40008ee4: 21700693 li a3,535
40008ee8: 47dc lw a5,12(a5)
40008eea: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008eee: 4581 li a1,0
40008ef0: 9782 jalr a5
40008ef2: 3fce07b7 lui a5,0x3fce0
40008ef6: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40008efa: 854a mv a0,s2
40008efc: 0987a783 lw a5,152(a5)
40008f00: 9782 jalr a5
40008f02: c15d beqz a0,40008fa8 <r_hci_build_evt+0xfa>
40008f04: 4158 lw a4,4(a0)
40008f06: cb29 beqz a4,40008f58 <r_hci_build_evt+0xaa>
40008f08: 00254783 lbu a5,2(a0)
40008f0c: 00c40593 addi a1,s0,12
40008f10: 00e15683 lhu a3,14(sp)
40008f14: eb9d bnez a5,40008f4a <r_hci_build_evt+0x9c>
40008f16: 3fce07b7 lui a5,0x3fce0
40008f1a: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40008f1e: 00e10613 addi a2,sp,14
40008f22: 852e mv a0,a1
40008f24: 4b9c lw a5,16(a5)
40008f26: 9782 jalr a5
40008f28: 84aa mv s1,a0
40008f2a: c895 beqz s1,40008f5e <r_hci_build_evt+0xb0>
40008f2c: 3fce07b7 lui a5,0x3fce0
40008f30: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008f34: 3ff1a637 lui a2,0x3ff1a
40008f38: 23300693 li a3,563
40008f3c: 47dc lw a5,12(a5)
40008f3e: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008f42: 85ca mv a1,s2
40008f44: 8526 mv a0,s1
40008f46: 9782 jalr a5
40008f48: a821 j 40008f60 <r_hci_build_evt+0xb2>
40008f4a: 00e10613 addi a2,sp,14
40008f4e: 852e mv a0,a1
40008f50: 9702 jalr a4
40008f52: 0ff57493 andi s1,a0,255
40008f56: bfd1 j 40008f2a <r_hci_build_evt+0x7c>
40008f58: 00a45783 lhu a5,10(s0)
40008f5c: e7a1 bnez a5,40008fa4 <r_hci_build_evt+0xf6>
40008f5e: 4481 li s1,0
40008f60: 00a45703 lhu a4,10(s0)
40008f64: 00e15783 lhu a5,14(sp)
40008f68: 02f77063 bgeu a4,a5,40008f88 <r_hci_build_evt+0xda>
40008f6c: 3fce07b7 lui a5,0x3fce0
40008f70: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008f74: 3ff1a637 lui a2,0x3ff1a
40008f78: 23600693 li a3,566
40008f7c: 47dc lw a5,12(a5)
40008f7e: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008f82: 85ca mv a1,s2
40008f84: 8526 mv a0,s1
40008f86: 9782 jalr a5
40008f88: 00e15783 lhu a5,14(sp)
40008f8c: 01240523 sb s2,10(s0)
40008f90: 00f405a3 sb a5,11(s0)
40008f94: 50b2 lw ra,44(sp)
40008f96: 5422 lw s0,40(sp)
40008f98: 854e mv a0,s3
40008f9a: 5492 lw s1,36(sp)
40008f9c: 5902 lw s2,32(sp)
40008f9e: 49f2 lw s3,28(sp)
40008fa0: 6145 addi sp,sp,48
40008fa2: 8082 ret
40008fa4: 4491 li s1,4
40008fa6: b759 j 40008f2c <r_hci_build_evt+0x7e>
40008fa8: 3fce07b7 lui a5,0x3fce0
40008fac: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40008fb0: 3ff1a637 lui a2,0x3ff1a
40008fb4: 24000693 li a3,576
40008fb8: 47dc lw a5,12(a5)
40008fba: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40008fbe: 4581 li a1,0
40008fc0: 854a mv a0,s2
40008fc2: 9782 jalr a5
40008fc4: bfc1 j 40008f94 <r_hci_build_evt+0xe6>
40008fc6 <r_hci_build_le_evt>:
40008fc6: 7179 addi sp,sp,-48
40008fc8: d422 sw s0,40(sp)
40008fca: ce4e sw s3,28(sp)
40008fcc: 00a55783 lhu a5,10(a0)
40008fd0: d606 sw ra,44(sp)
40008fd2: d226 sw s1,36(sp)
40008fd4: d04a sw s2,32(sp)
40008fd6: 00c54483 lbu s1,12(a0)
40008fda: 00f11723 sh a5,14(sp)
40008fde: 0ef00713 li a4,239
40008fe2: 3fce07b7 lui a5,0x3fce0
40008fe6: 842a mv s0,a0
40008fe8: 00a50993 addi s3,a0,10
40008fec: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40008ff0: 08977f63 bgeu a4,s1,4000908e <r_hci_build_le_evt+0xc8>
40008ff4: 0a07a783 lw a5,160(a5)
40008ff8: 8526 mv a0,s1
40008ffa: 9782 jalr a5
40008ffc: c54d beqz a0,400090a6 <r_hci_build_le_evt+0xe0>
40008ffe: 4158 lw a4,4(a0)
40009000: c34d beqz a4,400090a2 <r_hci_build_le_evt+0xdc>
40009002: 00254783 lbu a5,2(a0)
40009006: 00c40593 addi a1,s0,12
4000900a: 00e15683 lhu a3,14(sp)
4000900e: e3d9 bnez a5,40009094 <r_hci_build_le_evt+0xce>
40009010: 3fce07b7 lui a5,0x3fce0
40009014: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40009018: 00e10613 addi a2,sp,14
4000901c: 852e mv a0,a1
4000901e: 4b9c lw a5,16(a5)
40009020: 9782 jalr a5
40009022: 892a mv s2,a0
40009024: 02090063 beqz s2,40009044 <r_hci_build_le_evt+0x7e>
40009028: 3fce07b7 lui a5,0x3fce0
4000902c: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009030: 3ff1a637 lui a2,0x3ff1a
40009034: 2b300693 li a3,691
40009038: 47dc lw a5,12(a5)
4000903a: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
4000903e: 85a6 mv a1,s1
40009040: 854a mv a0,s2
40009042: 9782 jalr a5
40009044: 00e15503 lhu a0,14(sp)
40009048: 00a45783 lhu a5,10(s0)
4000904c: 00a7ff63 bgeu a5,a0,4000906a <r_hci_build_le_evt+0xa4>
40009050: 3fce07b7 lui a5,0x3fce0
40009054: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009058: 3ff1a637 lui a2,0x3ff1a
4000905c: 2b400693 li a3,692
40009060: 47dc lw a5,12(a5)
40009062: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40009066: 85a6 mv a1,s1
40009068: 9782 jalr a5
4000906a: 00091a63 bnez s2,4000907e <r_hci_build_le_evt+0xb8>
4000906e: 03e00793 li a5,62
40009072: 00f40523 sb a5,10(s0)
40009076: 00e15783 lhu a5,14(sp)
4000907a: 00f405a3 sb a5,11(s0)
4000907e: 50b2 lw ra,44(sp)
40009080: 5422 lw s0,40(sp)
40009082: 854e mv a0,s3
40009084: 5492 lw s1,36(sp)
40009086: 5902 lw s2,32(sp)
40009088: 49f2 lw s3,28(sp)
4000908a: 6145 addi sp,sp,48
4000908c: 8082 ret
4000908e: 09c7a783 lw a5,156(a5)
40009092: b79d j 40008ff8 <r_hci_build_le_evt+0x32>
40009094: 00e10613 addi a2,sp,14
40009098: 852e mv a0,a1
4000909a: 9702 jalr a4
4000909c: 0ff57913 andi s2,a0,255
400090a0: b751 j 40009024 <r_hci_build_le_evt+0x5e>
400090a2: 4911 li s2,4
400090a4: b751 j 40009028 <r_hci_build_le_evt+0x62>
400090a6: 3fce07b7 lui a5,0x3fce0
400090aa: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400090ae: 3ff1a637 lui a2,0x3ff1a
400090b2: 2c000693 li a3,704
400090b6: 47dc lw a5,12(a5)
400090b8: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
400090bc: 4581 li a1,0
400090be: 8526 mv a0,s1
400090c0: 9782 jalr a5
400090c2: bf75 j 4000907e <r_hci_build_le_evt+0xb8>
400090c4 <r_hci_build_acl_data>:
400090c4: 00c55703 lhu a4,12(a0)
400090c8: 4954 lw a3,20(a0)
400090ca: fee68e23 sb a4,-4(a3) # fffc <RvExcFrameSize+0xff6c>
400090ce: 8321 srli a4,a4,0x8
400090d0: fee68ea3 sb a4,-3(a3)
400090d4: 00e55703 lhu a4,14(a0)
400090d8: ffc68793 addi a5,a3,-4
400090dc: 853e mv a0,a5
400090de: fee68f23 sb a4,-2(a3)
400090e2: 8321 srli a4,a4,0x8
400090e4: fee68fa3 sb a4,-1(a3)
400090e8: 8082 ret
400090ea <r_hci_tx_start>:
400090ea: 7179 addi sp,sp,-48
400090ec: d226 sw s1,36(sp)
400090ee: 3fce04b7 lui s1,0x3fce0
400090f2: d04a sw s2,32(sp)
400090f4: e0048913 addi s2,s1,-512 # 3fcdfe00 <hci_tl_env>
400090f8: d422 sw s0,40(sp)
400090fa: 00092403 lw s0,0(s2)
400090fe: d606 sw ra,44(sp)
40009100: ce4e sw s3,28(sp)
40009102: e0048493 addi s1,s1,-512
40009106: ec09 bnez s0,40009120 <r_hci_tx_start+0x36>
40009108: 3fce07b7 lui a5,0x3fce0
4000910c: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40009110: 0b87a783 lw a5,184(a5)
40009114: 9782 jalr a5
40009116: c91d beqz a0,4000914c <r_hci_tx_start+0x62>
40009118: 4480 lw s0,8(s1)
4000911a: 00048b23 sb zero,22(s1)
4000911e: c815 beqz s0,40009152 <r_hci_tx_start+0x68>
40009120: 00445503 lhu a0,4(s0)
40009124: 77fd lui a5,0xfffff
40009126: eff78793 addi a5,a5,-257 # ffffeeff <SPIMEM0+0x9fffbeff>
4000912a: 97aa add a5,a5,a0
4000912c: 07c2 slli a5,a5,0x10
4000912e: c880 sw s0,16(s1)
40009130: 83c1 srli a5,a5,0x10
40009132: 4715 li a4,5
40009134: 3fce0937 lui s2,0x3fce0
40009138: 14f76063 bltu a4,a5,40009278 <r_hci_tx_start+0x18e>
4000913c: 3ff1a737 lui a4,0x3ff1a
40009140: 078a slli a5,a5,0x2
40009142: c5470713 addi a4,a4,-940 # 3ff19c54 <_rodata_start+0x54>
40009146: 97ba add a5,a5,a4
40009148: 439c lw a5,0(a5)
4000914a: 8782 jr a5
4000914c: 4785 li a5,1
4000914e: 00f90b23 sb a5,22(s2) # 3fce0016 <_bss_end_interface_common+0x16>
40009152: 50b2 lw ra,44(sp)
40009154: 5422 lw s0,40(sp)
40009156: 5492 lw s1,36(sp)
40009158: 5902 lw s2,32(sp)
4000915a: 49f2 lw s3,28(sp)
4000915c: 6145 addi sp,sp,48
4000915e: 8082 ret
40009160: 00845703 lhu a4,8(s0)
40009164: 6785 lui a5,0x1
40009166: c0378693 addi a3,a5,-1021 # c03 <RvExcFrameSize+0xb73>
4000916a: 02d70b63 beq a4,a3,400091a0 <r_hci_tx_start+0xb6>
4000916e: c3578793 addi a5,a5,-971
40009172: 02f70763 beq a4,a5,400091a0 <r_hci_tx_start+0xb6>
40009176: 0154c783 lbu a5,21(s1)
4000917a: 4715 li a4,5
4000917c: 0785 addi a5,a5,1
4000917e: 07e2 slli a5,a5,0x18
40009180: 87e1 srai a5,a5,0x18
40009182: 00f48aa3 sb a5,21(s1)
40009186: 00f75d63 bge a4,a5,400091a0 <r_hci_tx_start+0xb6>
4000918a: f8092783 lw a5,-128(s2)
4000918e: 3ff1a5b7 lui a1,0x3ff1a
40009192: 3f000613 li a2,1008
40009196: 479c lw a5,8(a5)
40009198: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
4000919c: 4501 li a0,0
4000919e: 9782 jalr a5
400091a0: 3fce07b7 lui a5,0x3fce0
400091a4: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400091a8: 4bdc lw a5,20(a5)
400091aa: 8522 mv a0,s0
400091ac: 9782 jalr a5
400091ae: 00154603 lbu a2,1(a0)
400091b2: 842a mv s0,a0
400091b4: 4991 li s3,4
400091b6: 0609 addi a2,a2,2
400091b8: f8092783 lw a5,-128(s2)
400091bc: 00048a23 sb zero,20(s1)
400091c0: c632 sw a2,12(sp)
400091c2: 5f9c lw a5,56(a5)
400091c4: 3fce04b7 lui s1,0x3fce0
400091c8: 9782 jalr a5
400091ca: 01754703 lbu a4,23(a0)
400091ce: 4785 li a5,1
400091d0: 4632 lw a2,12(sp)
400091d2: 0cf71263 bne a4,a5,40009296 <r_hci_tx_start+0x1ac>
400091d6: ff340fa3 sb s3,-1(s0)
400091da: f8092783 lw a5,-128(s2)
400091de: fff40513 addi a0,s0,-1
400091e2: 00160593 addi a1,a2,1
400091e6: 0e07a783 lw a5,224(a5)
400091ea: 9782 jalr a5
400091ec: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
400091f0: 5422 lw s0,40(sp)
400091f2: 50b2 lw ra,44(sp)
400091f4: 5492 lw s1,36(sp)
400091f6: 5902 lw s2,32(sp)
400091f8: 49f2 lw s3,28(sp)
400091fa: 1087a303 lw t1,264(a5)
400091fe: 4515 li a0,5
40009200: 6145 addi sp,sp,48
40009202: 8302 jr t1
40009204: 0154c783 lbu a5,21(s1)
40009208: 4715 li a4,5
4000920a: 0785 addi a5,a5,1
4000920c: 07e2 slli a5,a5,0x18
4000920e: 87e1 srai a5,a5,0x18
40009210: 00f48aa3 sb a5,21(s1)
40009214: 00f75d63 bge a4,a5,4000922e <r_hci_tx_start+0x144>
40009218: f8092783 lw a5,-128(s2)
4000921c: 3ff1a5b7 lui a1,0x3ff1a
40009220: 3ff00613 li a2,1023
40009224: 479c lw a5,8(a5)
40009226: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
4000922a: 4501 li a0,0
4000922c: 9782 jalr a5
4000922e: 3fce07b7 lui a5,0x3fce0
40009232: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40009236: 4f9c lw a5,24(a5)
40009238: bf8d j 400091aa <r_hci_tx_start+0xc0>
4000923a: 3fce07b7 lui a5,0x3fce0
4000923e: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40009242: 539c lw a5,32(a5)
40009244: b79d j 400091aa <r_hci_tx_start+0xc0>
40009246: 3fce07b7 lui a5,0x3fce0
4000924a: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000924e: 53dc lw a5,36(a5)
40009250: bfa9 j 400091aa <r_hci_tx_start+0xc0>
40009252: 3fce07b7 lui a5,0x3fce0
40009256: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000925a: 8522 mv a0,s0
4000925c: 4989 li s3,2
4000925e: 4b9c lw a5,16(a5)
40009260: 9782 jalr a5
40009262: 00354603 lbu a2,3(a0)
40009266: 00254583 lbu a1,2(a0)
4000926a: 842a mv s0,a0
4000926c: 0622 slli a2,a2,0x8
4000926e: 8e4d or a2,a2,a1
40009270: 0611 addi a2,a2,4
40009272: 0642 slli a2,a2,0x10
40009274: 8241 srli a2,a2,0x10
40009276: b789 j 400091b8 <r_hci_tx_start+0xce>
40009278: f8092783 lw a5,-128(s2)
4000927c: 3ff1a637 lui a2,0x3ff1a
40009280: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40009284: 47dc lw a5,12(a5)
40009286: 46800693 li a3,1128
4000928a: 4581 li a1,0
4000928c: 9782 jalr a5
4000928e: 4981 li s3,0
40009290: 4601 li a2,0
40009292: 4401 li s0,0
40009294: b715 j 400091b8 <r_hci_tx_start+0xce>
40009296: 3fce07b7 lui a5,0x3fce0
4000929a: f884a703 lw a4,-120(s1)
4000929e: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400092a2: 85a2 mv a1,s0
400092a4: 5422 lw s0,40(sp)
400092a6: 50b2 lw ra,44(sp)
400092a8: 5492 lw s1,36(sp)
400092aa: 5902 lw s2,32(sp)
400092ac: 08872303 lw t1,136(a4)
400092b0: 53f4 lw a3,100(a5)
400092b2: 854e mv a0,s3
400092b4: 49f2 lw s3,28(sp)
400092b6: 6145 addi sp,sp,48
400092b8: 8302 jr t1
400092ba <r_hci_tx_done>:
400092ba: 1101 addi sp,sp,-32
400092bc: c05a sw s6,0(sp)
400092be: 3fce0b37 lui s6,0x3fce0
400092c2: f84b2783 lw a5,-124(s6) # 3fcdff84 <r_osi_funcs_p>
400092c6: c64e sw s3,12(sp)
400092c8: 3fce09b7 lui s3,0x3fce0
400092cc: 4bdc lw a5,20(a5)
400092ce: ce06 sw ra,28(sp)
400092d0: cc22 sw s0,24(sp)
400092d2: ca26 sw s1,20(sp)
400092d4: e0098413 addi s0,s3,-512 # 3fcdfe00 <hci_tl_env>
400092d8: c84a sw s2,16(sp)
400092da: c452 sw s4,8(sp)
400092dc: c256 sw s5,4(sp)
400092de: 4804 lw s1,16(s0)
400092e0: 3fce0937 lui s2,0x3fce0
400092e4: 9782 jalr a5
400092e6: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
400092ea: 8526 mv a0,s1
400092ec: e0098a93 addi s5,s3,-512
400092f0: 47dc lw a5,12(a5)
400092f2: 3fce0a37 lui s4,0x3fce0
400092f6: 9782 jalr a5
400092f8: 4785 li a5,1
400092fa: 00f40a23 sb a5,20(s0)
400092fe: 0044d503 lhu a0,4(s1)
40009302: 6405 lui s0,0x1
40009304: 10140793 addi a5,s0,257 # 1101 <RvExcFrameSize+0x1071>
40009308: 08f56f63 bltu a0,a5,400093a6 <r_hci_tx_done+0xec>
4000930c: 10540793 addi a5,s0,261
40009310: 04a7f963 bgeu a5,a0,40009362 <r_hci_tx_done+0xa8>
40009314: 10640793 addi a5,s0,262
40009318: 08f51763 bne a0,a5,400093a6 <r_hci_tx_done+0xec>
4000931c: f88a2783 lw a5,-120(s4) # 3fcdff88 <r_modules_funcs_p>
40009320: 008a8513 addi a0,s5,8
40009324: 147d addi s0,s0,-1
40009326: 43bc lw a5,64(a5)
40009328: 9782 jalr a5
4000932a: 00c4d783 lhu a5,12(s1)
4000932e: 8c7d and s0,s0,a5
40009330: 3fce07b7 lui a5,0x3fce0
40009334: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009338: 539c lw a5,32(a5)
4000933a: 9782 jalr a5
4000933c: 00054783 lbu a5,0(a0)
40009340: 00f45a63 bge s0,a5,40009354 <r_hci_tx_done+0x9a>
40009344: f8c92783 lw a5,-116(s2)
40009348: 48cc lw a1,20(s1)
4000934a: 0104d503 lhu a0,16(s1)
4000934e: 1047a783 lw a5,260(a5)
40009352: 9782 jalr a5
40009354: f8c92783 lw a5,-116(s2)
40009358: 000aa823 sw zero,16(s5)
4000935c: 0b47a783 lw a5,180(a5)
40009360: 9782 jalr a5
40009362: 010aa783 lw a5,16(s5)
40009366: cb81 beqz a5,40009376 <r_hci_tx_done+0xbc>
40009368: f88a2783 lw a5,-120(s4)
4000936c: e0098513 addi a0,s3,-512
40009370: 43bc lw a5,64(a5)
40009372: 9782 jalr a5
40009374: 84aa mv s1,a0
40009376: f88a2783 lw a5,-120(s4)
4000937a: 8526 mv a0,s1
4000937c: 0d87a783 lw a5,216(a5)
40009380: 9782 jalr a5
40009382: f84b2783 lw a5,-124(s6)
40009386: 4f9c lw a5,24(a5)
40009388: 9782 jalr a5
4000938a: f8c92783 lw a5,-116(s2)
4000938e: 4462 lw s0,24(sp)
40009390: 40f2 lw ra,28(sp)
40009392: 44d2 lw s1,20(sp)
40009394: 4942 lw s2,16(sp)
40009396: 49b2 lw s3,12(sp)
40009398: 4a22 lw s4,8(sp)
4000939a: 4a92 lw s5,4(sp)
4000939c: 4b02 lw s6,0(sp)
4000939e: 0687a303 lw t1,104(a5)
400093a2: 6105 addi sp,sp,32
400093a4: 8302 jr t1
400093a6: 3fce07b7 lui a5,0x3fce0
400093aa: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400093ae: 3ff1a637 lui a2,0x3ff1a
400093b2: 4e700693 li a3,1255
400093b6: 47dc lw a5,12(a5)
400093b8: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
400093bc: 4581 li a1,0
400093be: 9782 jalr a5
400093c0: b74d j 40009362 <r_hci_tx_done+0xa8>
400093c2 <r_hci_tx_trigger>:
400093c2: 3fce07b7 lui a5,0x3fce0
400093c6: e147c703 lbu a4,-492(a5) # 3fcdfe14 <hci_tl_env+0x14>
400093ca: 4785 li a5,1
400093cc: 00f71963 bne a4,a5,400093de <r_hci_tx_trigger+0x1c>
400093d0: 3fce07b7 lui a5,0x3fce0
400093d4: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400093d8: 0687a303 lw t1,104(a5)
400093dc: 8302 jr t1
400093de: 8082 ret
400093e0 <r_hci_tl_c2h_data_flow_on>:
400093e0: 3fce07b7 lui a5,0x3fce0
400093e4: e167c783 lbu a5,-490(a5) # 3fcdfe16 <hci_tl_env+0x16>
400093e8: cb81 beqz a5,400093f8 <r_hci_tl_c2h_data_flow_on+0x18>
400093ea: 3fce07b7 lui a5,0x3fce0
400093ee: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400093f2: 06c7a303 lw t1,108(a5)
400093f6: 8302 jr t1
400093f8: 8082 ret
400093fa <r_hci_tl_send>:
400093fa: 1101 addi sp,sp,-32
400093fc: cc22 sw s0,24(sp)
400093fe: 3fce0437 lui s0,0x3fce0
40009402: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
40009406: ca26 sw s1,20(sp)
40009408: ce06 sw ra,28(sp)
4000940a: 4bdc lw a5,20(a5)
4000940c: c62a sw a0,12(sp)
4000940e: 3fce04b7 lui s1,0x3fce0
40009412: 9782 jalr a5
40009414: 45b2 lw a1,12(sp)
40009416: 6785 lui a5,0x1
40009418: 10678793 addi a5,a5,262 # 1106 <RvExcFrameSize+0x1076>
4000941c: 0045d703 lhu a4,4(a1)
40009420: 02f71963 bne a4,a5,40009452 <r_hci_tl_send+0x58>
40009424: 3fce07b7 lui a5,0x3fce0
40009428: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000942c: 3fce0537 lui a0,0x3fce0
40009430: e0850513 addi a0,a0,-504 # 3fcdfe08 <hci_tl_env+0x8>
40009434: 43fc lw a5,68(a5)
40009436: 9782 jalr a5
40009438: f8442783 lw a5,-124(s0)
4000943c: 4f9c lw a5,24(a5)
4000943e: 9782 jalr a5
40009440: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
40009444: 4462 lw s0,24(sp)
40009446: 40f2 lw ra,28(sp)
40009448: 44d2 lw s1,20(sp)
4000944a: 06c7a303 lw t1,108(a5)
4000944e: 6105 addi sp,sp,32
40009450: 8302 jr t1
40009452: f8c4a783 lw a5,-116(s1)
40009456: 852e mv a0,a1
40009458: c62e sw a1,12(sp)
4000945a: 479c lw a5,8(a5)
4000945c: 9782 jalr a5
4000945e: fd69 bnez a0,40009438 <r_hci_tl_send+0x3e>
40009460: 3fce07b7 lui a5,0x3fce0
40009464: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
40009468: 3fce0537 lui a0,0x3fce0
4000946c: 45b2 lw a1,12(sp)
4000946e: 43fc lw a5,68(a5)
40009470: e0050513 addi a0,a0,-512 # 3fcdfe00 <hci_tl_env>
40009474: b7c9 j 40009436 <r_hci_tl_send+0x3c>
40009476 <r_hci_tl_inc_nb_h2c_cmd_pkts>:
40009476: 3fce07b7 lui a5,0x3fce0
4000947a: e0078793 addi a5,a5,-512 # 3fcdfe00 <hci_tl_env>
4000947e: 01578703 lb a4,21(a5)
40009482: 4691 li a3,4
40009484: 00e6c563 blt a3,a4,4000948e <r_hci_tl_inc_nb_h2c_cmd_pkts+0x18>
40009488: 0705 addi a4,a4,1
4000948a: 00e78aa3 sb a4,21(a5)
4000948e: 8082 ret
40009490 <r_hci_tl_save_pkt>:
40009490: 3fce07b7 lui a5,0x3fce0
40009494: e0078713 addi a4,a5,-512 # 3fcdfe00 <hci_tl_env>
40009498: 5718 lw a4,40(a4)
4000949a: 1141 addi sp,sp,-16
4000949c: c422 sw s0,8(sp)
4000949e: c226 sw s1,4(sp)
400094a0: c04a sw s2,0(sp)
400094a2: c606 sw ra,12(sp)
400094a4: 84aa mv s1,a0
400094a6: 892e mv s2,a1
400094a8: e0078413 addi s0,a5,-512
400094ac: ef11 bnez a4,400094c8 <r_hci_tl_save_pkt+0x38>
400094ae: 3fce07b7 lui a5,0x3fce0
400094b2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400094b6: 3ff1a5b7 lui a1,0x3ff1a
400094ba: 55f00613 li a2,1375
400094be: 479c lw a5,8(a5)
400094c0: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
400094c4: 4501 li a0,0
400094c6: 9782 jalr a5
400094c8: 5408 lw a0,40(s0)
400094ca: 4422 lw s0,8(sp)
400094cc: 40b2 lw ra,12(sp)
400094ce: 864a mv a2,s2
400094d0: 85a6 mv a1,s1
400094d2: 4902 lw s2,0(sp)
400094d4: 4492 lw s1,4(sp)
400094d6: 0141 addi sp,sp,16
400094d8: 2e24f06f j 400587ba <memcpy>
400094dc <r_hci_tl_get_pkt>:
400094dc: 3fce07b7 lui a5,0x3fce0
400094e0: e287a503 lw a0,-472(a5) # 3fcdfe28 <hci_tl_env+0x28>
400094e4: 8082 ret
400094e6 <r_hci_tl_cmd_hdr_rx_evt_handler>:
400094e6: 1141 addi sp,sp,-16
400094e8: c422 sw s0,8(sp)
400094ea: 3fce0437 lui s0,0x3fce0
400094ee: f8c42783 lw a5,-116(s0) # 3fcdff8c <r_ip_funcs_p>
400094f2: c226 sw s1,4(sp)
400094f4: c04a sw s2,0(sp)
400094f6: 47bc lw a5,72(a5)
400094f8: c606 sw ra,12(sp)
400094fa: 3fce04b7 lui s1,0x3fce0
400094fe: 9782 jalr a5
40009500: 3fce07b7 lui a5,0x3fce0
40009504: e287a783 lw a5,-472(a5) # 3fcdfe28 <hci_tl_env+0x28>
40009508: 892a mv s2,a0
4000950a: ef81 bnez a5,40009522 <r_hci_tl_cmd_hdr_rx_evt_handler+0x3c>
4000950c: f804a783 lw a5,-128(s1) # 3fcdff80 <r_plf_funcs_p>
40009510: 3ff1a5b7 lui a1,0x3ff1a
40009514: 56d00613 li a2,1389
40009518: 479c lw a5,8(a5)
4000951a: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
4000951e: 4501 li a0,0
40009520: 9782 jalr a5
40009522: 3fce07b7 lui a5,0x3fce0
40009526: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000952a: 4519 li a0,6
4000952c: 0ec7a783 lw a5,236(a5)
40009530: 9782 jalr a5
40009532: f8c42783 lw a5,-116(s0)
40009536: 00394583 lbu a1,3(s2)
4000953a: 00195503 lhu a0,1(s2)
4000953e: 57dc lw a5,44(a5)
40009540: 4601 li a2,0
40009542: 9782 jalr a5
40009544: f804a783 lw a5,-128(s1)
40009548: 4422 lw s0,8(sp)
4000954a: 40b2 lw ra,12(sp)
4000954c: 4492 lw s1,4(sp)
4000954e: 4902 lw s2,0(sp)
40009550: 0dc7a303 lw t1,220(a5)
40009554: 0141 addi sp,sp,16
40009556: 8302 jr t1
40009558 <r_hci_tl_cmd_pld_rx_evt_handler>:
40009558: 1141 addi sp,sp,-16
4000955a: c422 sw s0,8(sp)
4000955c: 3fce0437 lui s0,0x3fce0
40009560: f8c42783 lw a5,-116(s0) # 3fcdff8c <r_ip_funcs_p>
40009564: c226 sw s1,4(sp)
40009566: c04a sw s2,0(sp)
40009568: 47bc lw a5,72(a5)
4000956a: c606 sw ra,12(sp)
4000956c: 3fce0937 lui s2,0x3fce0
40009570: 9782 jalr a5
40009572: 3fce07b7 lui a5,0x3fce0
40009576: e287a783 lw a5,-472(a5) # 3fcdfe28 <hci_tl_env+0x28>
4000957a: 84aa mv s1,a0
4000957c: ef81 bnez a5,40009594 <r_hci_tl_cmd_pld_rx_evt_handler+0x3c>
4000957e: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
40009582: 3ff1a5b7 lui a1,0x3ff1a
40009586: 57800613 li a2,1400
4000958a: 479c lw a5,8(a5)
4000958c: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
40009590: 4501 li a0,0
40009592: 9782 jalr a5
40009594: 3fce07b7 lui a5,0x3fce0
40009598: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000959c: 451d li a0,7
4000959e: 0ec7a783 lw a5,236(a5)
400095a2: 9782 jalr a5
400095a4: f8c42783 lw a5,-116(s0)
400095a8: 0034c583 lbu a1,3(s1)
400095ac: 0014d503 lhu a0,1(s1)
400095b0: 57dc lw a5,44(a5)
400095b2: 00448613 addi a2,s1,4
400095b6: 9782 jalr a5
400095b8: f8092783 lw a5,-128(s2)
400095bc: 4422 lw s0,8(sp)
400095be: 40b2 lw ra,12(sp)
400095c0: 4492 lw s1,4(sp)
400095c2: 4902 lw s2,0(sp)
400095c4: 0dc7a303 lw t1,220(a5)
400095c8: 0141 addi sp,sp,16
400095ca: 8302 jr t1
400095cc <r_hci_tl_hci_pkt_handler>:
400095cc: 1101 addi sp,sp,-32
400095ce: 3fce07b7 lui a5,0x3fce0
400095d2: cc22 sw s0,24(sp)
400095d4: e287a403 lw s0,-472(a5) # 3fcdfe28 <hci_tl_env+0x28>
400095d8: ce06 sw ra,28(sp)
400095da: ca26 sw s1,20(sp)
400095dc: c84a sw s2,16(sp)
400095de: c64e sw s3,12(sp)
400095e0: 00044783 lbu a5,0(s0)
400095e4: 4705 li a4,1
400095e6: 02e79463 bne a5,a4,4000960e <r_hci_tl_hci_pkt_handler+0x42>
400095ea: 3fce07b7 lui a5,0x3fce0
400095ee: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400095f2: 4519 li a0,6
400095f4: 1087a303 lw t1,264(a5)
400095f8: 00344783 lbu a5,3(s0)
400095fc: c391 beqz a5,40009600 <r_hci_tl_hci_pkt_handler+0x34>
400095fe: 451d li a0,7
40009600: 4462 lw s0,24(sp)
40009602: 40f2 lw ra,28(sp)
40009604: 44d2 lw s1,20(sp)
40009606: 4942 lw s2,16(sp)
40009608: 49b2 lw s3,12(sp)
4000960a: 6105 addi sp,sp,32
4000960c: 8302 jr t1
4000960e: 4709 li a4,2
40009610: 3fce04b7 lui s1,0x3fce0
40009614: 06e79463 bne a5,a4,4000967c <r_hci_tl_hci_pkt_handler+0xb0>
40009618: 00345583 lhu a1,3(s0)
4000961c: 3fce09b7 lui s3,0x3fce0
40009620: f8c9a783 lw a5,-116(s3) # 3fcdff8c <r_ip_funcs_p>
40009624: 00145503 lhu a0,1(s0)
40009628: c5ad beqz a1,40009692 <r_hci_tl_hci_pkt_handler+0xc6>
4000962a: 439c lw a5,0(a5)
4000962c: 9782 jalr a5
4000962e: 892a mv s2,a0
40009630: c51d beqz a0,4000965e <r_hci_tl_hci_pkt_handler+0x92>
40009632: f804a783 lw a5,-128(s1) # 3fcdff80 <r_plf_funcs_p>
40009636: 0bc7a783 lw a5,188(a5)
4000963a: 9782 jalr a5
4000963c: c10d beqz a0,4000965e <r_hci_tl_hci_pkt_handler+0x92>
4000963e: 00345603 lhu a2,3(s0)
40009642: 00540593 addi a1,s0,5
40009646: 1744f0ef jal ra,400587ba <memcpy>
4000964a: f8c9a783 lw a5,-116(s3)
4000964e: 00345583 lhu a1,3(s0)
40009652: 00145503 lhu a0,1(s0)
40009656: 43dc lw a5,4(a5)
40009658: 864a mv a2,s2
4000965a: 9782 jalr a5
4000965c: a005 j 4000967c <r_hci_tl_hci_pkt_handler+0xb0>
4000965e: 3fce07b7 lui a5,0x3fce0
40009662: 9f47a703 lw a4,-1548(a5) # 3fcdf9f4 <g_bt_plf_log_level>
40009666: 4785 li a5,1
40009668: 00e7da63 bge a5,a4,4000967c <r_hci_tl_hci_pkt_handler+0xb0>
4000966c: 3ff1a537 lui a0,0x3ff1a
40009670: 4601 li a2,0
40009672: 85ca mv a1,s2
40009674: 79850513 addi a0,a0,1944 # 3ff1a798 <CSWTCH.72+0x46c>
40009678: 05c3f0ef jal ra,400486d4 <ets_printf>
4000967c: f804a783 lw a5,-128(s1)
40009680: 4462 lw s0,24(sp)
40009682: 40f2 lw ra,28(sp)
40009684: 44d2 lw s1,20(sp)
40009686: 4942 lw s2,16(sp)
40009688: 49b2 lw s3,12(sp)
4000968a: 0dc7a303 lw t1,220(a5)
4000968e: 6105 addi sp,sp,32
40009690: 8302 jr t1
40009692: 43dc lw a5,4(a5)
40009694: 4601 li a2,0
40009696: 4581 li a1,0
40009698: b7c9 j 4000965a <r_hci_tl_hci_pkt_handler+0x8e>
4000969a <r_hci_tl_hci_tx_done_evt_handler>:
4000969a: 3fce07b7 lui a5,0x3fce0
4000969e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400096a2: 1141 addi sp,sp,-16
400096a4: c606 sw ra,12(sp)
400096a6: 0ec7a783 lw a5,236(a5)
400096aa: 4515 li a0,5
400096ac: 9782 jalr a5
400096ae: 3fce07b7 lui a5,0x3fce0
400096b2: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400096b6: 40b2 lw ra,12(sp)
400096b8: 0647a303 lw t1,100(a5)
400096bc: 0141 addi sp,sp,16
400096be: 8302 jr t1
400096c0 <r_hci_cmd_get_max_param_size>:
400096c0: 3fce07b7 lui a5,0x3fce0
400096c4: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
400096c8: 1141 addi sp,sp,-16
400096ca: c606 sw ra,12(sp)
400096cc: 0907a783 lw a5,144(a5)
400096d0: 9782 jalr a5
400096d2: c511 beqz a0,400096de <r_hci_cmd_get_max_param_size+0x1e>
400096d4: 00354503 lbu a0,3(a0)
400096d8: 40b2 lw ra,12(sp)
400096da: 0141 addi sp,sp,16
400096dc: 8082 ret
400096de: 0ff00513 li a0,255
400096e2: bfdd j 400096d8 <r_hci_cmd_get_max_param_size+0x18>
400096e4 <r_hci_cmd_received>:
400096e4: 7139 addi sp,sp,-64
400096e6: d256 sw s5,36(sp)
400096e8: 3fce0ab7 lui s5,0x3fce0
400096ec: f8caa783 lw a5,-116(s5) # 3fcdff8c <r_ip_funcs_p>
400096f0: dc22 sw s0,56(sp)
400096f2: da26 sw s1,52(sp)
400096f4: 0907a783 lw a5,144(a5)
400096f8: d84a sw s2,48(sp)
400096fa: d452 sw s4,40(sp)
400096fc: d05a sw s6,32(sp)
400096fe: de06 sw ra,60(sp)
40009700: d64e sw s3,44(sp)
40009702: ce5e sw s7,28(sp)
40009704: cc62 sw s8,24(sp)
40009706: 3fce0437 lui s0,0x3fce0
4000970a: 84aa mv s1,a0
4000970c: 8b2e mv s6,a1
4000970e: 8a32 mv s4,a2
40009710: 9782 jalr a5
40009712: e0040793 addi a5,s0,-512 # 3fcdfe00 <hci_tl_env>
40009716: 01578783 lb a5,21(a5)
4000971a: 892a mv s2,a0
4000971c: e0040413 addi s0,s0,-512
40009720: 0007df63 bgez a5,4000973e <r_hci_cmd_received+0x5a>
40009724: 3fce07b7 lui a5,0x3fce0
40009728: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000972c: 3ff1a5b7 lui a1,0x3ff1a
40009730: 5c400613 li a2,1476
40009734: 479c lw a5,8(a5)
40009736: 78c58593 addi a1,a1,1932 # 3ff1a78c <CSWTCH.72+0x460>
4000973a: 4501 li a0,0
4000973c: 9782 jalr a5
4000973e: 6785 lui a5,0x1
40009740: c3578793 addi a5,a5,-971 # c35 <RvExcFrameSize+0xba5>
40009744: 00f48763 beq s1,a5,40009752 <r_hci_cmd_received+0x6e>
40009748: 01544783 lbu a5,21(s0)
4000974c: 17fd addi a5,a5,-1
4000974e: 00f40aa3 sb a5,21(s0)
40009752: 1e090f63 beqz s2,40009950 <r_hci_cmd_received+0x26c>
40009756: 01540783 lb a5,21(s0)
4000975a: 1607cd63 bltz a5,400098d4 <r_hci_cmd_received+0x1f0>
4000975e: 00294503 lbu a0,2(s2)
40009762: 47a5 li a5,9
40009764: 893d andi a0,a0,15
40009766: 0ea7eb63 bltu a5,a0,4000985c <r_hci_cmd_received+0x178>
4000976a: 3ff1a737 lui a4,0x3ff1a
4000976e: 00251793 slli a5,a0,0x2
40009772: c6c70713 addi a4,a4,-916 # 3ff19c6c <_rodata_start+0x6c>
40009776: 97ba add a5,a5,a4
40009778: 439c lw a5,0(a5)
4000977a: 8782 jr a5
4000977c: 440d li s0,3
4000977e: 00011723 sh zero,14(sp)
40009782: 100b0763 beqz s6,40009890 <r_hci_cmd_received+0x1ac>
40009786: 00492703 lw a4,4(s2)
4000978a: 14070b63 beqz a4,400098e0 <r_hci_cmd_received+0x1fc>
4000978e: 00294783 lbu a5,2(s2)
40009792: 010b1693 slli a3,s6,0x10
40009796: 82c1 srli a3,a3,0x10
40009798: 0407f793 andi a5,a5,64
4000979c: e3f5 bnez a5,40009880 <r_hci_cmd_received+0x19c>
4000979e: 3fce07b7 lui a5,0x3fce0
400097a2: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400097a6: 00e10613 addi a2,sp,14
400097aa: 85d2 mv a1,s4
400097ac: 4f9c lw a5,24(a5)
400097ae: 4501 li a0,0
400097b0: 9782 jalr a5
400097b2: 89aa mv s3,a0
400097b4: 4785 li a5,1
400097b6: 0cf99e63 bne s3,a5,40009892 <r_hci_cmd_received+0x1ae>
400097ba: f8caa783 lw a5,-116(s5)
400097be: 4681 li a3,0
400097c0: 4649 li a2,18
400097c2: 5b9c lw a5,48(a5)
400097c4: a841 j 40009854 <r_hci_cmd_received+0x170>
400097c6: 4785 li a5,1
400097c8: 0567f063 bgeu a5,s6,40009808 <r_hci_cmd_received+0x124>
400097cc: 001a4403 lbu s0,1(s4)
400097d0: 000a4783 lbu a5,0(s4)
400097d4: 0422 slli s0,s0,0x8
400097d6: 8c5d or s0,s0,a5
400097d8: 3fce07b7 lui a5,0x3fce0
400097dc: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400097e0: 539c lw a5,32(a5)
400097e2: 9782 jalr a5
400097e4: 00054783 lbu a5,0(a0)
400097e8: 02f45063 bge s0,a5,40009808 <r_hci_cmd_received+0x124>
400097ec: 3fce07b7 lui a5,0x3fce0
400097f0: e3878793 addi a5,a5,-456 # 3fcdfe38 <hci_env>
400097f4: 97a2 add a5,a5,s0
400097f6: 0187c783 lbu a5,24(a5)
400097fa: c799 beqz a5,40009808 <r_hci_cmd_received+0x124>
400097fc: 0422 slli s0,s0,0x8
400097fe: 00146413 ori s0,s0,1
40009802: 0442 slli s0,s0,0x10
40009804: 8041 srli s0,s0,0x10
40009806: bfa5 j 4000977e <r_hci_cmd_received+0x9a>
40009808: f8caa783 lw a5,-116(s5)
4000980c: 40600713 li a4,1030
40009810: 5b9c lw a5,48(a5)
40009812: 02e49f63 bne s1,a4,40009850 <r_hci_cmd_received+0x16c>
40009816: 4601 li a2,0
40009818: 40600593 li a1,1030
4000981c: 854a mv a0,s2
4000981e: 86d2 mv a3,s4
40009820: 000a4403 lbu s0,0(s4)
40009824: 9782 jalr a5
40009826: f8caa783 lw a5,-116(s5)
4000982a: 002a4603 lbu a2,2(s4)
4000982e: 4581 li a1,0
40009830: 56c7a783 lw a5,1388(a5)
40009834: 8522 mv a0,s0
40009836: 9782 jalr a5
40009838: 50f2 lw ra,60(sp)
4000983a: 5462 lw s0,56(sp)
4000983c: 54d2 lw s1,52(sp)
4000983e: 5942 lw s2,48(sp)
40009840: 59b2 lw s3,44(sp)
40009842: 5a22 lw s4,40(sp)
40009844: 5a92 lw s5,36(sp)
40009846: 5b02 lw s6,32(sp)
40009848: 4bf2 lw s7,28(sp)
4000984a: 4c62 lw s8,24(sp)
4000984c: 6121 addi sp,sp,64
4000984e: 8082 ret
40009850: 86d2 mv a3,s4
40009852: 4609 li a2,2
40009854: 85a6 mv a1,s1
40009856: 854a mv a0,s2
40009858: 9782 jalr a5
4000985a: bff9 j 40009838 <r_hci_cmd_received+0x154>
4000985c: 3fce07b7 lui a5,0x3fce0
40009860: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009864: 3ff1a637 lui a2,0x3ff1a
40009868: 65600693 li a3,1622
4000986c: 47dc lw a5,12(a5)
4000986e: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40009872: 85a6 mv a1,s1
40009874: 9782 jalr a5
40009876: b7c9 j 40009838 <r_hci_cmd_received+0x154>
40009878: 4409 li s0,2
4000987a: b711 j 4000977e <r_hci_cmd_received+0x9a>
4000987c: 4401 li s0,0
4000987e: b701 j 4000977e <r_hci_cmd_received+0x9a>
40009880: 00e10613 addi a2,sp,14
40009884: 85d2 mv a1,s4
40009886: 4501 li a0,0
40009888: 9702 jalr a4
4000988a: 0ff57993 andi s3,a0,255
4000988e: b71d j 400097b4 <r_hci_cmd_received+0xd0>
40009890: 4981 li s3,0
40009892: 3fce0c37 lui s8,0x3fce0
40009896: f88c2783 lw a5,-120(s8) # 3fcdff88 <r_modules_funcs_p>
4000989a: 00e15683 lhu a3,14(sp)
4000989e: 6505 lui a0,0x1
400098a0: 0c87a783 lw a5,200(a5)
400098a4: 8626 mv a2,s1
400098a6: 85a2 mv a1,s0
400098a8: 10550513 addi a0,a0,261 # 1105 <RvExcFrameSize+0x1075>
400098ac: 9782 jalr a5
400098ae: 8baa mv s7,a0
400098b0: 02098063 beqz s3,400098d0 <r_hci_cmd_received+0x1ec>
400098b4: 3fce07b7 lui a5,0x3fce0
400098b8: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
400098bc: 3ff1a637 lui a2,0x3ff1a
400098c0: 68200693 li a3,1666
400098c4: 47dc lw a5,12(a5)
400098c6: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
400098ca: 85a6 mv a1,s1
400098cc: 854e mv a0,s3
400098ce: 9782 jalr a5
400098d0: 000b9a63 bnez s7,400098e4 <r_hci_cmd_received+0x200>
400098d4: f8caa783 lw a5,-116(s5)
400098d8: 4681 li a3,0
400098da: 461d li a2,7
400098dc: 5b9c lw a5,48(a5)
400098de: bf9d j 40009854 <r_hci_cmd_received+0x170>
400098e0: 4991 li s3,4
400098e2: bf45 j 40009892 <r_hci_cmd_received+0x1ae>
400098e4: 00e15783 lhu a5,14(sp)
400098e8: c78d beqz a5,40009912 <r_hci_cmd_received+0x22e>
400098ea: 00492703 lw a4,4(s2)
400098ee: c315 beqz a4,40009912 <r_hci_cmd_received+0x22e>
400098f0: 00294783 lbu a5,2(s2)
400098f4: 010b1693 slli a3,s6,0x10
400098f8: 82c1 srli a3,a3,0x10
400098fa: 0407f793 andi a5,a5,64
400098fe: e3a9 bnez a5,40009940 <r_hci_cmd_received+0x25c>
40009900: f88c2783 lw a5,-120(s8)
40009904: 00e10613 addi a2,sp,14
40009908: 85d2 mv a1,s4
4000990a: 4f9c lw a5,24(a5)
4000990c: 855e mv a0,s7
4000990e: 9782 jalr a5
40009910: 89aa mv s3,a0
40009912: 02098063 beqz s3,40009932 <r_hci_cmd_received+0x24e>
40009916: 3fce07b7 lui a5,0x3fce0
4000991a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000991e: 3ff1a637 lui a2,0x3ff1a
40009922: 69a00693 li a3,1690
40009926: 47dc lw a5,12(a5)
40009928: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
4000992c: 85a6 mv a1,s1
4000992e: 854e mv a0,s3
40009930: 9782 jalr a5
40009932: f88c2783 lw a5,-120(s8)
40009936: 855e mv a0,s7
40009938: 0e07a783 lw a5,224(a5)
4000993c: 9782 jalr a5
4000993e: bded j 40009838 <r_hci_cmd_received+0x154>
40009940: 00e10613 addi a2,sp,14
40009944: 85d2 mv a1,s4
40009946: 855e mv a0,s7
40009948: 9702 jalr a4
4000994a: 0ff57993 andi s3,a0,255
4000994e: b7d1 j 40009912 <r_hci_cmd_received+0x22e>
40009950: f8caa783 lw a5,-116(s5)
40009954: 4681 li a3,0
40009956: 4605 li a2,1
40009958: 5b9c lw a5,48(a5)
4000995a: 85a6 mv a1,s1
4000995c: 4501 li a0,0
4000995e: bded j 40009858 <r_hci_cmd_received+0x174>
40009960 <r_hci_acl_tx_data_alloc>:
40009960: 3fce07b7 lui a5,0x3fce0
40009964: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009968: 1101 addi sp,sp,-32
4000996a: cc22 sw s0,24(sp)
4000996c: 539c lw a5,32(a5)
4000996e: ca26 sw s1,20(sp)
40009970: ce06 sw ra,28(sp)
40009972: c84a sw s2,16(sp)
40009974: 842a mv s0,a0
40009976: c62e sw a1,12(sp)
40009978: 9782 jalr a5
4000997a: 6485 lui s1,0x1
4000997c: 00054703 lbu a4,0(a0)
40009980: fff48793 addi a5,s1,-1 # fff <RvExcFrameSize+0xf6f>
40009984: 8fe1 and a5,a5,s0
40009986: 06e7d463 bge a5,a4,400099ee <r_hci_acl_tx_data_alloc+0x8e>
4000998a: 00e45793 srli a5,s0,0xe
4000998e: 4401 li s0,0
40009990: eba1 bnez a5,400099e0 <r_hci_acl_tx_data_alloc+0x80>
40009992: 45b2 lw a1,12(sp)
40009994: 0fb00793 li a5,251
40009998: 04b7e463 bltu a5,a1,400099e0 <r_hci_acl_tx_data_alloc+0x80>
4000999c: 3fce0937 lui s2,0x3fce0
400099a0: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
400099a4: 852e mv a0,a1
400099a6: 0c47a783 lw a5,196(a5)
400099aa: 9782 jalr a5
400099ac: 842a mv s0,a0
400099ae: e90d bnez a0,400099e0 <r_hci_acl_tx_data_alloc+0x80>
400099b0: 3fce07b7 lui a5,0x3fce0
400099b4: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
400099b8: 4685 li a3,1
400099ba: 4669 li a2,26
400099bc: 0c87a783 lw a5,200(a5)
400099c0: 4581 li a1,0
400099c2: 10348513 addi a0,s1,259
400099c6: 9782 jalr a5
400099c8: 4485 li s1,1
400099ca: 00950023 sb s1,0(a0)
400099ce: f8c92783 lw a5,-116(s2)
400099d2: 08c7a783 lw a5,140(a5)
400099d6: 9782 jalr a5
400099d8: 3fce07b7 lui a5,0x3fce0
400099dc: e0978ba3 sb s1,-489(a5) # 3fcdfe17 <hci_tl_env+0x17>
400099e0: 8522 mv a0,s0
400099e2: 40f2 lw ra,28(sp)
400099e4: 4462 lw s0,24(sp)
400099e6: 44d2 lw s1,20(sp)
400099e8: 4942 lw s2,16(sp)
400099ea: 6105 addi sp,sp,32
400099ec: 8082 ret
400099ee: 4401 li s0,0
400099f0: bfc5 j 400099e0 <r_hci_acl_tx_data_alloc+0x80>
400099f2 <r_hci_acl_tx_data_received>:
400099f2: 3fce07b7 lui a5,0x3fce0
400099f6: e0078793 addi a5,a5,-512 # 3fcdfe00 <hci_tl_env>
400099fa: 0177c703 lbu a4,23(a5)
400099fe: c701 beqz a4,40009a06 <r_hci_acl_tx_data_received+0x14>
40009a00: 00078ba3 sb zero,23(a5)
40009a04: 8082 ret
40009a06: 1101 addi sp,sp,-32
40009a08: cc22 sw s0,24(sp)
40009a0a: 3fce0437 lui s0,0x3fce0
40009a0e: f8042783 lw a5,-128(s0) # 3fcdff80 <r_plf_funcs_p>
40009a12: ca26 sw s1,20(sp)
40009a14: c84a sw s2,16(sp)
40009a16: 539c lw a5,32(a5)
40009a18: c64e sw s3,12(sp)
40009a1a: ce06 sw ra,28(sp)
40009a1c: 89aa mv s3,a0
40009a1e: 84ae mv s1,a1
40009a20: 8932 mv s2,a2
40009a22: 9782 jalr a5
40009a24: 6785 lui a5,0x1
40009a26: 00054703 lbu a4,0(a0)
40009a2a: fff78593 addi a1,a5,-1 # fff <RvExcFrameSize+0xf6f>
40009a2e: 00b9f5b3 and a1,s3,a1
40009a32: 04e5d563 bge a1,a4,40009a7c <r_hci_acl_tx_data_received+0x8a>
40009a36: 3fce0437 lui s0,0x3fce0
40009a3a: f8842703 lw a4,-120(s0) # 3fcdff88 <r_modules_funcs_p>
40009a3e: 05a2 slli a1,a1,0x8
40009a40: 0015e593 ori a1,a1,1
40009a44: 0c872703 lw a4,200(a4)
40009a48: 05c2 slli a1,a1,0x10
40009a4a: 81c1 srli a1,a1,0x10
40009a4c: 46b1 li a3,12
40009a4e: 4601 li a2,0
40009a50: 10678513 addi a0,a5,262
40009a54: 9702 jalr a4
40009a56: 01351023 sh s3,0(a0)
40009a5a: 00951123 sh s1,2(a0)
40009a5e: e091 bnez s1,40009a62 <r_hci_acl_tx_data_received+0x70>
40009a60: 4901 li s2,0
40009a62: f8842783 lw a5,-120(s0)
40009a66: 4462 lw s0,24(sp)
40009a68: 01251223 sh s2,4(a0)
40009a6c: 40f2 lw ra,28(sp)
40009a6e: 44d2 lw s1,20(sp)
40009a70: 4942 lw s2,16(sp)
40009a72: 49b2 lw s3,12(sp)
40009a74: 0e07a303 lw t1,224(a5)
40009a78: 6105 addi sp,sp,32
40009a7a: 8302 jr t1
40009a7c: f8042783 lw a5,-128(s0)
40009a80: 4462 lw s0,24(sp)
40009a82: 40f2 lw ra,28(sp)
40009a84: 4942 lw s2,16(sp)
40009a86: 00c7a303 lw t1,12(a5)
40009a8a: 85ce mv a1,s3
40009a8c: 8526 mv a0,s1
40009a8e: 49b2 lw s3,12(sp)
40009a90: 44d2 lw s1,20(sp)
40009a92: 3ff1a637 lui a2,0x3ff1a
40009a96: 73b00693 li a3,1851
40009a9a: 78c60613 addi a2,a2,1932 # 3ff1a78c <CSWTCH.72+0x460>
40009a9e: 6105 addi sp,sp,32
40009aa0: 8302 jr t1
40009aa2 <r_bt_bb_intr_mask_set>:
40009aa2: 600117b7 lui a5,0x60011
40009aa6: 08a7a223 sw a0,132(a5) # 60011084 <SPIMEM0+0xe084>
40009aaa: 8082 ret
40009aac <r_bt_bb_intr_clear>:
40009aac: 600117b7 lui a5,0x60011
40009ab0: 577d li a4,-1
40009ab2: 08e7a823 sw a4,144(a5) # 60011090 <SPIMEM0+0xe090>
40009ab6: 8082 ret
40009ab8 <r_bt_bb_get_intr_mask>:
40009ab8: 600117b7 lui a5,0x60011
40009abc: 0847a503 lw a0,132(a5) # 60011084 <SPIMEM0+0xe084>
40009ac0: 8082 ret
40009ac2 <r_bt_bb_isr>:
40009ac2: 1141 addi sp,sp,-16
40009ac4: 600117b7 lui a5,0x60011
40009ac8: c422 sw s0,8(sp)
40009aca: 08c7a403 lw s0,140(a5) # 6001108c <SPIMEM0+0xe08c>
40009ace: c606 sw ra,12(sp)
40009ad0: c226 sw s1,4(sp)
40009ad2: 00d41713 slli a4,s0,0xd
40009ad6: 02075063 bgez a4,40009af6 <r_bt_bb_isr+0x34>
40009ada: 0907a703 lw a4,144(a5)
40009ade: 000406b7 lui a3,0x40
40009ae2: 8f55 or a4,a4,a3
40009ae4: 08e7a823 sw a4,144(a5)
40009ae8: 3fce07b7 lui a5,0x3fce0
40009aec: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40009af0: 2487a783 lw a5,584(a5)
40009af4: 9782 jalr a5
40009af6: 00c41793 slli a5,s0,0xc
40009afa: 0007ce63 bltz a5,40009b16 <r_bt_bb_isr+0x54>
40009afe: 3fce07b7 lui a5,0x3fce0
40009b02: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009b06: 4422 lw s0,8(sp)
40009b08: 40b2 lw ra,12(sp)
40009b0a: 4492 lw s1,4(sp)
40009b0c: 02c7a303 lw t1,44(a5)
40009b10: 4515 li a0,5
40009b12: 0141 addi sp,sp,16
40009b14: 8302 jr t1
40009b16: 60011737 lui a4,0x60011
40009b1a: 09072783 lw a5,144(a4) # 60011090 <SPIMEM0+0xe090>
40009b1e: 000806b7 lui a3,0x80
40009b22: 60011437 lui s0,0x60011
40009b26: 8fd5 or a5,a5,a3
40009b28: 08f72823 sw a5,144(a4)
40009b2c: 3fce04b7 lui s1,0x3fce0
40009b30: 0d442783 lw a5,212(s0) # 600110d4 <SPIMEM0+0xe0d4>
40009b34: 00279713 slli a4,a5,0x2
40009b38: fc0743e3 bltz a4,40009afe <r_bt_bb_isr+0x3c>
40009b3c: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
40009b40: 0d842603 lw a2,216(s0)
40009b44: 2507a783 lw a5,592(a5)
40009b48: 01765593 srli a1,a2,0x17
40009b4c: 00f65513 srli a0,a2,0xf
40009b50: 07f5f593 andi a1,a1,127
40009b54: 8279 srli a2,a2,0x1e
40009b56: 0ff57513 andi a0,a0,255
40009b5a: 9782 jalr a5
40009b5c: bfd1 j 40009b30 <r_bt_bb_isr+0x6e>
40009b5e <r_btdm_isr>:
40009b5e: 3fce07b7 lui a5,0x3fce0
40009b62: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
40009b66: 12c7a303 lw t1,300(a5)
40009b6a: 8302 jr t1
40009b6c <r_rwbtdm_isr_wrapper>:
40009b6c: 1141 addi sp,sp,-16
40009b6e: c422 sw s0,8(sp)
40009b70: 3fce0437 lui s0,0x3fce0
40009b74: f8042783 lw a5,-128(s0) # 3fcdff80 <r_plf_funcs_p>
40009b78: c606 sw ra,12(sp)
40009b7a: 0887a783 lw a5,136(a5)
40009b7e: 9782 jalr a5
40009b80: f8042783 lw a5,-128(s0)
40009b84: 4422 lw s0,8(sp)
40009b86: 40b2 lw ra,12(sp)
40009b88: 02c7a303 lw t1,44(a5)
40009b8c: 4501 li a0,0
40009b8e: 0141 addi sp,sp,16
40009b90: 8302 jr t1
40009b92 <ip_funcs_eco_init>:
40009b92: c919 beqz a0,40009ba8 <ip_funcs_eco_init+0x16>
40009b94: 3ff1a5b7 lui a1,0x3ff1a
40009b98: 07400613 li a2,116
40009b9c: 7bc58593 addi a1,a1,1980 # 3ff1a7bc <cs_ip_funcs_eco>
40009ba0: 75450513 addi a0,a0,1876
40009ba4: 4174e06f j 400587ba <memcpy>
40009ba8: 8082 ret
40009baa <r_ke_event_init>:
40009baa: 3fce0537 lui a0,0x3fce0
40009bae: 04000613 li a2,64
40009bb2: 4581 li a1,0
40009bb4: d9050513 addi a0,a0,-624 # 3fcdfd90 <ke_event_env>
40009bb8: 5274e06f j 400588de <memset>
40009bbc <r_ke_event_callback_set>:
40009bbc: 1141 addi sp,sp,-16
40009bbe: c422 sw s0,8(sp)
40009bc0: c226 sw s1,4(sp)
40009bc2: c606 sw ra,12(sp)
40009bc4: 47b9 li a5,14
40009bc6: 842a mv s0,a0
40009bc8: 84ae mv s1,a1
40009bca: 02a7e063 bltu a5,a0,40009bea <r_ke_event_callback_set+0x2e>
40009bce: cd91 beqz a1,40009bea <r_ke_event_callback_set+0x2e>
40009bd0: 3fce07b7 lui a5,0x3fce0
40009bd4: 040a slli s0,s0,0x2
40009bd6: d9078793 addi a5,a5,-624 # 3fcdfd90 <ke_event_env>
40009bda: 943e add s0,s0,a5
40009bdc: c044 sw s1,4(s0)
40009bde: 4501 li a0,0
40009be0: 40b2 lw ra,12(sp)
40009be2: 4422 lw s0,8(sp)
40009be4: 4492 lw s1,4(sp)
40009be6: 0141 addi sp,sp,16
40009be8: 8082 ret
40009bea: 3fce07b7 lui a5,0x3fce0
40009bee: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009bf2: 3ff1b637 lui a2,0x3ff1b
40009bf6: 06400693 li a3,100
40009bfa: 47dc lw a5,12(a5)
40009bfc: 83060613 addi a2,a2,-2000 # 3ff1a830 <cs_ip_funcs_eco+0x74>
40009c00: 85a6 mv a1,s1
40009c02: 8522 mv a0,s0
40009c04: 9782 jalr a5
40009c06: 47b9 li a5,14
40009c08: fc87f4e3 bgeu a5,s0,40009bd0 <r_ke_event_callback_set+0x14>
40009c0c: 450d li a0,3
40009c0e: bfc9 j 40009be0 <r_ke_event_callback_set+0x24>
40009c10 <r_ke_event_set>:
40009c10: 1141 addi sp,sp,-16
40009c12: c226 sw s1,4(sp)
40009c14: c606 sw ra,12(sp)
40009c16: c422 sw s0,8(sp)
40009c18: 47b9 li a5,14
40009c1a: 84aa mv s1,a0
40009c1c: 00a7ff63 bgeu a5,a0,40009c3a <r_ke_event_set+0x2a>
40009c20: 3fce07b7 lui a5,0x3fce0
40009c24: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009c28: 3ff1b637 lui a2,0x3ff1b
40009c2c: 07400693 li a3,116
40009c30: 47dc lw a5,12(a5)
40009c32: 83060613 addi a2,a2,-2000 # 3ff1a830 <cs_ip_funcs_eco+0x74>
40009c36: 4581 li a1,0
40009c38: 9782 jalr a5
40009c3a: 3fce0437 lui s0,0x3fce0
40009c3e: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
40009c42: 4bdc lw a5,20(a5)
40009c44: 9782 jalr a5
40009c46: 47b9 li a5,14
40009c48: 0097ec63 bltu a5,s1,40009c60 <r_ke_event_set+0x50>
40009c4c: 3fce07b7 lui a5,0x3fce0
40009c50: d9078793 addi a5,a5,-624 # 3fcdfd90 <ke_event_env>
40009c54: 4505 li a0,1
40009c56: 00951733 sll a4,a0,s1
40009c5a: 4388 lw a0,0(a5)
40009c5c: 8d59 or a0,a0,a4
40009c5e: c388 sw a0,0(a5)
40009c60: f8442783 lw a5,-124(s0)
40009c64: 4422 lw s0,8(sp)
40009c66: 40b2 lw ra,12(sp)
40009c68: 4492 lw s1,4(sp)
40009c6a: 0187a303 lw t1,24(a5)
40009c6e: 0141 addi sp,sp,16
40009c70: 8302 jr t1
40009c72 <r_ke_event_clear>:
40009c72: 1141 addi sp,sp,-16
40009c74: c226 sw s1,4(sp)
40009c76: c606 sw ra,12(sp)
40009c78: c422 sw s0,8(sp)
40009c7a: 47b9 li a5,14
40009c7c: 84aa mv s1,a0
40009c7e: 00a7ff63 bgeu a5,a0,40009c9c <r_ke_event_clear+0x2a>
40009c82: 3fce07b7 lui a5,0x3fce0
40009c86: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009c8a: 3ff1b637 lui a2,0x3ff1b
40009c8e: 08500693 li a3,133
40009c92: 47dc lw a5,12(a5)
40009c94: 83060613 addi a2,a2,-2000 # 3ff1a830 <cs_ip_funcs_eco+0x74>
40009c98: 4581 li a1,0
40009c9a: 9782 jalr a5
40009c9c: 3fce0437 lui s0,0x3fce0
40009ca0: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
40009ca4: 4bdc lw a5,20(a5)
40009ca6: 9782 jalr a5
40009ca8: 47b9 li a5,14
40009caa: 0097ee63 bltu a5,s1,40009cc6 <r_ke_event_clear+0x54>
40009cae: 3fce0737 lui a4,0x3fce0
40009cb2: d9070713 addi a4,a4,-624 # 3fcdfd90 <ke_event_env>
40009cb6: 4314 lw a3,0(a4)
40009cb8: 4785 li a5,1
40009cba: 009797b3 sll a5,a5,s1
40009cbe: fff7c793 not a5,a5
40009cc2: 8ff5 and a5,a5,a3
40009cc4: c31c sw a5,0(a4)
40009cc6: f8442783 lw a5,-124(s0)
40009cca: 4422 lw s0,8(sp)
40009ccc: 40b2 lw ra,12(sp)
40009cce: 4492 lw s1,4(sp)
40009cd0: 0187a303 lw t1,24(a5)
40009cd4: 0141 addi sp,sp,16
40009cd6: 8302 jr t1
40009cd8 <r_ke_event_get>:
40009cd8: 1101 addi sp,sp,-32
40009cda: ca26 sw s1,20(sp)
40009cdc: ce06 sw ra,28(sp)
40009cde: cc22 sw s0,24(sp)
40009ce0: 47b9 li a5,14
40009ce2: 84aa mv s1,a0
40009ce4: 00a7ff63 bgeu a5,a0,40009d02 <r_ke_event_get+0x2a>
40009ce8: 3fce07b7 lui a5,0x3fce0
40009cec: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
40009cf0: 3ff1b637 lui a2,0x3ff1b
40009cf4: 09600693 li a3,150
40009cf8: 47dc lw a5,12(a5)
40009cfa: 83060613 addi a2,a2,-2000 # 3ff1a830 <cs_ip_funcs_eco+0x74>
40009cfe: 4581 li a1,0
40009d00: 9782 jalr a5
40009d02: 3fce0437 lui s0,0x3fce0
40009d06: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
40009d0a: 4bdc lw a5,20(a5)
40009d0c: 9782 jalr a5
40009d0e: 47b9 li a5,14
40009d10: 4501 li a0,0
40009d12: 0097e963 bltu a5,s1,40009d24 <r_ke_event_get+0x4c>
40009d16: 3fce07b7 lui a5,0x3fce0
40009d1a: d907a503 lw a0,-624(a5) # 3fcdfd90 <ke_event_env>
40009d1e: 00955533 srl a0,a0,s1
40009d22: 8905 andi a0,a0,1
40009d24: f8442783 lw a5,-124(s0)
40009d28: c62a sw a0,12(sp)
40009d2a: 4f9c lw a5,24(a5)
40009d2c: 9782 jalr a5
40009d2e: 40f2 lw ra,28(sp)
40009d30: 4462 lw s0,24(sp)
40009d32: 4532 lw a0,12(sp)
40009d34: 44d2 lw s1,20(sp)
40009d36: 6105 addi sp,sp,32
40009d38: 8082 ret
40009d3a <r_ke_event_get_all>:
40009d3a: 3fce07b7 lui a5,0x3fce0
40009d3e: d907a503 lw a0,-624(a5) # 3fcdfd90 <ke_event_env>
40009d42: 8082 ret
40009d44 <r_ke_event_flush>:
40009d44: 3fce07b7 lui a5,0x3fce0
40009d48: d807a823 sw zero,-624(a5) # 3fcdfd90 <ke_event_env>
40009d4c: 8082 ret
40009d4e <r_ke_event_schedule>:
40009d4e: 7179 addi sp,sp,-48
40009d50: d226 sw s1,36(sp)
40009d52: 3fce04b7 lui s1,0x3fce0
40009d56: d04a sw s2,32(sp)
40009d58: ce4e sw s3,28(sp)
40009d5a: cc52 sw s4,24(sp)
40009d5c: ca56 sw s5,20(sp)
40009d5e: d904a583 lw a1,-624(s1) # 3fcdfd90 <ke_event_env>
40009d62: d606 sw ra,44(sp)
40009d64: d422 sw s0,40(sp)
40009d66: d9048493 addi s1,s1,-624
40009d6a: 4a7d li s4,31
40009d6c: 4ab9 li s5,14
40009d6e: 3fce0937 lui s2,0x3fce0
40009d72: 3ff1b9b7 lui s3,0x3ff1b
40009d76: e991 bnez a1,40009d8a <r_ke_event_schedule+0x3c>
40009d78: 50b2 lw ra,44(sp)
40009d7a: 5422 lw s0,40(sp)
40009d7c: 5492 lw s1,36(sp)
40009d7e: 5902 lw s2,32(sp)
40009d80: 49f2 lw s3,28(sp)
40009d82: 4a62 lw s4,24(sp)
40009d84: 4ad2 lw s5,20(sp)
40009d86: 6145 addi sp,sp,48
40009d88: 8082 ret
40009d8a: 852e mv a0,a1
40009d8c: c62e sw a1,12(sp)
40009d8e: 1e2490ef jal ra,40052f70 <__clzsi2>
40009d92: 40aa0533 sub a0,s4,a0
40009d96: 0ff57413 andi s0,a0,255
40009d9a: 008afc63 bgeu s5,s0,40009db2 <r_ke_event_schedule+0x64>
40009d9e: f8092783 lw a5,-128(s2) # 3fcdff80 <r_plf_funcs_p>
40009da2: 45b2 lw a1,12(sp)
40009da4: 0bc00693 li a3,188
40009da8: 47dc lw a5,12(a5)
40009daa: 83098613 addi a2,s3,-2000 # 3ff1a830 <cs_ip_funcs_eco+0x74>
40009dae: 8522 mv a0,s0
40009db0: 9782 jalr a5
40009db2: 040a slli s0,s0,0x2
40009db4: 9426 add s0,s0,s1
40009db6: 405c lw a5,4(s0)
40009db8: c781 beqz a5,40009dc0 <r_ke_event_schedule+0x72>
40009dba: 9782 jalr a5
40009dbc: 408c lw a1,0(s1)
40009dbe: bf65 j 40009d76 <r_ke_event_schedule+0x28>
40009dc0: f8092783 lw a5,-128(s2)
40009dc4: 0c800613 li a2,200
40009dc8: 83098593 addi a1,s3,-2000
40009dcc: 479c lw a5,8(a5)
40009dce: 4501 li a0,0
40009dd0: 9782 jalr a5
40009dd2: b7ed j 40009dbc <r_ke_event_schedule+0x6e>
40009dd4 <r_ke_mem_is_in_heap>:
40009dd4: 01050713 addi a4,a0,16
40009dd8: 3fce07b7 lui a5,0x3fce0
40009ddc: 0511 addi a0,a0,4
40009dde: dd078793 addi a5,a5,-560 # 3fcdfdd0 <ke_env>
40009de2: 050a slli a0,a0,0x2
40009de4: 0706 slli a4,a4,0x1
40009de6: 973e add a4,a4,a5
40009de8: 97aa add a5,a5,a0
40009dea: 4788 lw a0,8(a5)
40009dec: 00875703 lhu a4,8(a4)
40009df0: 00a5e863 bltu a1,a0,40009e00 <r_ke_mem_is_in_heap+0x2c>
40009df4: 953a add a0,a0,a4
40009df6: 00b535b3 sltu a1,a0,a1
40009dfa: 0015c513 xori a0,a1,1
40009dfe: 8082 ret
40009e00: 4501 li a0,0
40009e02: 8082 ret
40009e04 <r_ke_mem_init>:
40009e04: 00450693 addi a3,a0,4
40009e08: 3fce0737 lui a4,0x3fce0
40009e0c: 00358793 addi a5,a1,3
40009e10: dd070713 addi a4,a4,-560 # 3fcdfdd0 <ke_env>
40009e14: 068a slli a3,a3,0x2
40009e16: 9bf1 andi a5,a5,-4
40009e18: 96ba add a3,a3,a4
40009e1a: 95b2 add a1,a1,a2
40009e1c: c69c sw a5,8(a3)
40009e1e: 99f1 andi a1,a1,-4
40009e20: 76e9 lui a3,0xffffa
40009e22: 0541 addi a0,a0,16
40009e24: 8d9d sub a1,a1,a5
40009e26: 55a68693 addi a3,a3,1370 # ffffa55a <SPIMEM0+0x9fff755a>
40009e2a: 0506 slli a0,a0,0x1
40009e2c: 00b79123 sh a1,2(a5)
40009e30: 00d79023 sh a3,0(a5)
40009e34: 0007a223 sw zero,4(a5)
40009e38: 0007a423 sw zero,8(a5)
40009e3c: 972a add a4,a4,a0
40009e3e: 00c71423 sh a2,8(a4)
40009e42: 8082 ret
40009e44 <r_ke_mem_is_empty>:
40009e44: 00450713 addi a4,a0,4
40009e48: 3fce07b7 lui a5,0x3fce0
40009e4c: 0541 addi a0,a0,16
40009e4e: 1141 addi sp,sp,-16
40009e50: 0506 slli a0,a0,0x1
40009e52: dd078793 addi a5,a5,-560 # 3fcdfdd0 <ke_env>
40009e56: 070a slli a4,a4,0x2
40009e58: c04a sw s2,0(sp)
40009e5a: 973e add a4,a4,a5
40009e5c: 3fce0937 lui s2,0x3fce0
40009e60: 97aa add a5,a5,a0
40009e62: c422 sw s0,8(sp)
40009e64: 0087d403 lhu s0,8(a5)
40009e68: f8492783 lw a5,-124(s2) # 3fcdff84 <r_osi_funcs_p>
40009e6c: c606 sw ra,12(sp)
40009e6e: c226 sw s1,4(sp)
40009e70: 4bdc lw a5,20(a5)
40009e72: 4704 lw s1,8(a4)
40009e74: 9782 jalr a5
40009e76: f8492783 lw a5,-124(s2)
40009e7a: 0024d503 lhu a0,2(s1)
40009e7e: 9426 add s0,s0,s1
40009e80: 9871 andi s0,s0,-4
40009e82: 4f9c lw a5,24(a5)
40009e84: 8c05 sub s0,s0,s1
40009e86: 40850433 sub s0,a0,s0
40009e8a: 00143413 seqz s0,s0
40009e8e: 9782 jalr a5
40009e90: 8522 mv a0,s0
40009e92: 40b2 lw ra,12(sp)
40009e94: 4422 lw s0,8(sp)
40009e96: 4492 lw s1,4(sp)
40009e98: 4902 lw s2,0(sp)
40009e9a: 0141 addi sp,sp,16
40009e9c: 8082 ret
40009e9e <r_ke_check_malloc>:
40009e9e: 7179 addi sp,sp,-48
40009ea0: d422 sw s0,40(sp)
40009ea2: 00350413 addi s0,a0,3
40009ea6: 9871 andi s0,s0,-4
40009ea8: c85a sw s6,16(sp)
40009eaa: d606 sw ra,44(sp)
40009eac: d226 sw s1,36(sp)
40009eae: d04a sw s2,32(sp)
40009eb0: ce4e sw s3,28(sp)
40009eb2: cc52 sw s4,24(sp)
40009eb4: ca56 sw s5,20(sp)
40009eb6: c65e sw s7,12(sp)
40009eb8: c462 sw s8,8(sp)
40009eba: c266 sw s9,4(sp)
40009ebc: c06a sw s10,0(sp)
40009ebe: 0411 addi s0,s0,4
40009ec0: 47b1 li a5,12
40009ec2: 8b2e mv s6,a1
40009ec4: 00f47363 bgeu s0,a5,40009eca <r_ke_check_malloc+0x2c>
40009ec8: 4431 li s0,12
40009eca: 3fce0937 lui s2,0x3fce0
40009ece: f8492783 lw a5,-124(s2) # 3fcdff84 <r_osi_funcs_p>
40009ed2: 3fce09b7 lui s3,0x3fce0
40009ed6: 6a29 lui s4,0xa
40009ed8: 4bdc lw a5,20(a5)
40009eda: 4c01 li s8,0
40009edc: dd098993 addi s3,s3,-560 # 3fcdfdd0 <ke_env>
40009ee0: 9782 jalr a5
40009ee2: 3fce0cb7 lui s9,0x3fce0
40009ee6: 3ff1bab7 lui s5,0x3ff1b
40009eea: 55aa0a13 addi s4,s4,1370 # a55a <RvExcFrameSize+0xa4ca>
40009eee: 018b07b3 add a5,s6,s8
40009ef2: 8b8d andi a5,a5,3
40009ef4: 0791 addi a5,a5,4
40009ef6: 078a slli a5,a5,0x2
40009ef8: 97ce add a5,a5,s3
40009efa: 4784 lw s1,8(a5)
40009efc: e891 bnez s1,40009f10 <r_ke_check_malloc+0x72>
40009efe: f80ca783 lw a5,-128(s9) # 3fcdff80 <r_plf_funcs_p>
40009f02: 0bd00613 li a2,189
40009f06: 83ca8593 addi a1,s5,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
40009f0a: 479c lw a5,8(a5)
40009f0c: 4501 li a0,0
40009f0e: 9782 jalr a5
40009f10: 4b81 li s7,0
40009f12: 00c40d13 addi s10,s0,12
40009f16: a82d j 40009f50 <r_ke_check_malloc+0xb2>
40009f18: 0004d783 lhu a5,0(s1)
40009f1c: 01478b63 beq a5,s4,40009f32 <r_ke_check_malloc+0x94>
40009f20: f80ca783 lw a5,-128(s9)
40009f24: 0c200613 li a2,194
40009f28: 83ca8593 addi a1,s5,-1988
40009f2c: 479c lw a5,8(a5)
40009f2e: 4501 li a0,0
40009f30: 9782 jalr a5
40009f32: 0024d783 lhu a5,2(s1)
40009f36: 0087ec63 bltu a5,s0,40009f4e <r_ke_check_malloc+0xb0>
40009f3a: 01a7f463 bgeu a5,s10,40009f42 <r_ke_check_malloc+0xa4>
40009f3e: 4498 lw a4,8(s1)
40009f40: c719 beqz a4,40009f4e <r_ke_check_malloc+0xb0>
40009f42: 040b8b63 beqz s7,40009f98 <r_ke_check_malloc+0xfa>
40009f46: 002bd703 lhu a4,2(s7)
40009f4a: 04e7e763 bltu a5,a4,40009f98 <r_ke_check_malloc+0xfa>
40009f4e: 40c4 lw s1,4(s1)
40009f50: f4e1 bnez s1,40009f18 <r_ke_check_malloc+0x7a>
40009f52: 000b8963 beqz s7,40009f64 <r_ke_check_malloc+0xc6>
40009f56: 002bd783 lhu a5,2(s7)
40009f5a: 00c40713 addi a4,s0,12
40009f5e: 00e7f363 bgeu a5,a4,40009f64 <r_ke_check_malloc+0xc6>
40009f62: 843e mv s0,a5
40009f64: 478d li a5,3
40009f66: 00fc0563 beq s8,a5,40009f70 <r_ke_check_malloc+0xd2>
40009f6a: 0c05 addi s8,s8,1
40009f6c: f80b81e3 beqz s7,40009eee <r_ke_check_malloc+0x50>
40009f70: f8492783 lw a5,-124(s2)
40009f74: 4f9c lw a5,24(a5)
40009f76: 9782 jalr a5
40009f78: 50b2 lw ra,44(sp)
40009f7a: 5422 lw s0,40(sp)
40009f7c: 01703533 snez a0,s7
40009f80: 5492 lw s1,36(sp)
40009f82: 5902 lw s2,32(sp)
40009f84: 49f2 lw s3,28(sp)
40009f86: 4a62 lw s4,24(sp)
40009f88: 4ad2 lw s5,20(sp)
40009f8a: 4b42 lw s6,16(sp)
40009f8c: 4bb2 lw s7,12(sp)
40009f8e: 4c22 lw s8,8(sp)
40009f90: 4c92 lw s9,4(sp)
40009f92: 4d02 lw s10,0(sp)
40009f94: 6145 addi sp,sp,48
40009f96: 8082 ret
40009f98: 8ba6 mv s7,s1
40009f9a: bf55 j 40009f4e <r_ke_check_malloc+0xb0>
40009f9c <r_ke_malloc>:
40009f9c: 7139 addi sp,sp,-64
40009f9e: da26 sw s1,52(sp)
40009fa0: 00350493 addi s1,a0,3
40009fa4: 98f1 andi s1,s1,-4
40009fa6: d05a sw s6,32(sp)
40009fa8: ce5e sw s7,28(sp)
40009faa: de06 sw ra,60(sp)
40009fac: dc22 sw s0,56(sp)
40009fae: d84a sw s2,48(sp)
40009fb0: d64e sw s3,44(sp)
40009fb2: d452 sw s4,40(sp)
40009fb4: d256 sw s5,36(sp)
40009fb6: cc62 sw s8,24(sp)
40009fb8: ca66 sw s9,20(sp)
40009fba: c86a sw s10,16(sp)
40009fbc: c66e sw s11,12(sp)
40009fbe: 0491 addi s1,s1,4
40009fc0: 47b1 li a5,12
40009fc2: 8b2a mv s6,a0
40009fc4: 8bae mv s7,a1
40009fc6: 00f4f363 bgeu s1,a5,40009fcc <r_ke_malloc+0x30>
40009fca: 44b1 li s1,12
40009fcc: 3fce09b7 lui s3,0x3fce0
40009fd0: f849a783 lw a5,-124(s3) # 3fcdff84 <r_osi_funcs_p>
40009fd4: 3fce0a37 lui s4,0x3fce0
40009fd8: 6aa9 lui s5,0xa
40009fda: 4bdc lw a5,20(a5)
40009fdc: 4c81 li s9,0
40009fde: dd0a0a13 addi s4,s4,-560 # 3fcdfdd0 <ke_env>
40009fe2: 9782 jalr a5
40009fe4: 3fce0d37 lui s10,0x3fce0
40009fe8: 3ff1bc37 lui s8,0x3ff1b
40009fec: 55aa8a93 addi s5,s5,1370 # a55a <RvExcFrameSize+0xa4ca>
40009ff0: 019b87b3 add a5,s7,s9
40009ff4: 8b8d andi a5,a5,3
40009ff6: 0791 addi a5,a5,4
40009ff8: 078a slli a5,a5,0x2
40009ffa: 97d2 add a5,a5,s4
40009ffc: 0087a903 lw s2,8(a5)
4000a000: 00091b63 bnez s2,4000a016 <r_ke_malloc+0x7a>
4000a004: f80d2783 lw a5,-128(s10) # 3fcdff80 <r_plf_funcs_p>
4000a008: 10b00613 li a2,267
4000a00c: 83cc0593 addi a1,s8,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a010: 479c lw a5,8(a5)
4000a012: 4501 li a0,0
4000a014: 9782 jalr a5
4000a016: 4401 li s0,0
4000a018: 00c48d93 addi s11,s1,12
4000a01c: a83d j 4000a05a <r_ke_malloc+0xbe>
4000a01e: 00095783 lhu a5,0(s2)
4000a022: 01578b63 beq a5,s5,4000a038 <r_ke_malloc+0x9c>
4000a026: f80d2783 lw a5,-128(s10)
4000a02a: 11000613 li a2,272
4000a02e: 83cc0593 addi a1,s8,-1988
4000a032: 479c lw a5,8(a5)
4000a034: 4501 li a0,0
4000a036: 9782 jalr a5
4000a038: 00295783 lhu a5,2(s2)
4000a03c: 0097ed63 bltu a5,s1,4000a056 <r_ke_malloc+0xba>
4000a040: 01b7f563 bgeu a5,s11,4000a04a <r_ke_malloc+0xae>
4000a044: 00892703 lw a4,8(s2)
4000a048: c719 beqz a4,4000a056 <r_ke_malloc+0xba>
4000a04a: c409 beqz s0,4000a054 <r_ke_malloc+0xb8>
4000a04c: 00245703 lhu a4,2(s0)
4000a050: 00e7f363 bgeu a5,a4,4000a056 <r_ke_malloc+0xba>
4000a054: 844a mv s0,s2
4000a056: 00492903 lw s2,4(s2)
4000a05a: fc0912e3 bnez s2,4000a01e <r_ke_malloc+0x82>
4000a05e: c801 beqz s0,4000a06e <r_ke_malloc+0xd2>
4000a060: 00245783 lhu a5,2(s0)
4000a064: 00c48713 addi a4,s1,12
4000a068: 00e7f363 bgeu a5,a4,4000a06e <r_ke_malloc+0xd2>
4000a06c: 84be mv s1,a5
4000a06e: 478d li a5,3
4000a070: 04fc8963 beq s9,a5,4000a0c2 <r_ke_malloc+0x126>
4000a074: 0c85 addi s9,s9,1
4000a076: dc2d beqz s0,40009ff0 <r_ke_malloc+0x54>
4000a078: 00245783 lhu a5,2(s0)
4000a07c: 01049913 slli s2,s1,0x10
4000a080: 01095913 srli s2,s2,0x10
4000a084: 08979b63 bne a5,s1,4000a11a <r_ke_malloc+0x17e>
4000a088: 441c lw a5,8(s0)
4000a08a: ef91 bnez a5,4000a0a6 <r_ke_malloc+0x10a>
4000a08c: 3fce07b7 lui a5,0x3fce0
4000a090: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a094: 3ff1b5b7 lui a1,0x3ff1b
4000a098: 15c00613 li a2,348
4000a09c: 479c lw a5,8(a5)
4000a09e: 83c58593 addi a1,a1,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a0a2: 4501 li a0,0
4000a0a4: 9782 jalr a5
4000a0a6: 4418 lw a4,8(s0)
4000a0a8: 405c lw a5,4(s0)
4000a0aa: c35c sw a5,4(a4)
4000a0ac: c391 beqz a5,4000a0b0 <r_ke_malloc+0x114>
4000a0ae: c798 sw a4,8(a5)
4000a0b0: 77e1 lui a5,0xffff8
4000a0b2: 33878793 addi a5,a5,824 # ffff8338 <SPIMEM0+0x9fff5338>
4000a0b6: 01241123 sh s2,2(s0)
4000a0ba: 00f41023 sh a5,0(s0)
4000a0be: 0411 addi s0,s0,4
4000a0c0: a80d j 4000a0f2 <r_ke_malloc+0x156>
4000a0c2: f85d bnez s0,4000a078 <r_ke_malloc+0xdc>
4000a0c4: 3fce0437 lui s0,0x3fce0
4000a0c8: f8042783 lw a5,-128(s0) # 3fcdff80 <r_plf_funcs_p>
4000a0cc: 3ff1b637 lui a2,0x3ff1b
4000a0d0: 15000693 li a3,336
4000a0d4: 47dc lw a5,12(a5)
4000a0d6: 83c60613 addi a2,a2,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a0da: 85de mv a1,s7
4000a0dc: 855a mv a0,s6
4000a0de: 9782 jalr a5
4000a0e0: f8042783 lw a5,-128(s0)
4000a0e4: f2f2f537 lui a0,0xf2f2f
4000a0e8: 2f250513 addi a0,a0,754 # f2f2f2f2 <SPIMEM0+0x92f2c2f2>
4000a0ec: 43dc lw a5,4(a5)
4000a0ee: 4401 li s0,0
4000a0f0: 9782 jalr a5
4000a0f2: f849a783 lw a5,-124(s3)
4000a0f6: 4f9c lw a5,24(a5)
4000a0f8: 9782 jalr a5
4000a0fa: 8522 mv a0,s0
4000a0fc: 50f2 lw ra,60(sp)
4000a0fe: 5462 lw s0,56(sp)
4000a100: 54d2 lw s1,52(sp)
4000a102: 5942 lw s2,48(sp)
4000a104: 59b2 lw s3,44(sp)
4000a106: 5a22 lw s4,40(sp)
4000a108: 5a92 lw s5,36(sp)
4000a10a: 5b02 lw s6,32(sp)
4000a10c: 4bf2 lw s7,28(sp)
4000a10e: 4c62 lw s8,24(sp)
4000a110: 4cd2 lw s9,20(sp)
4000a112: 4d42 lw s10,16(sp)
4000a114: 4db2 lw s11,12(sp)
4000a116: 6121 addi sp,sp,64
4000a118: 8082 ret
4000a11a: 412787b3 sub a5,a5,s2
4000a11e: 07c2 slli a5,a5,0x10
4000a120: 83c1 srli a5,a5,0x10
4000a122: 00f41123 sh a5,2(s0)
4000a126: 943e add s0,s0,a5
4000a128: b761 j 4000a0b0 <r_ke_malloc+0x114>
4000a12a <r_ke_free>:
4000a12a: 7179 addi sp,sp,-48
4000a12c: d226 sw s1,36(sp)
4000a12e: d606 sw ra,44(sp)
4000a130: d422 sw s0,40(sp)
4000a132: d04a sw s2,32(sp)
4000a134: ce4e sw s3,28(sp)
4000a136: cc52 sw s4,24(sp)
4000a138: ca56 sw s5,20(sp)
4000a13a: c85a sw s6,16(sp)
4000a13c: c65e sw s7,12(sp)
4000a13e: c462 sw s8,8(sp)
4000a140: 84aa mv s1,a0
4000a142: ed11 bnez a0,4000a15e <r_ke_free+0x34>
4000a144: 3fce07b7 lui a5,0x3fce0
4000a148: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a14c: 3ff1b637 lui a2,0x3ff1b
4000a150: 19a00693 li a3,410
4000a154: 47dc lw a5,12(a5)
4000a156: 83c60613 addi a2,a2,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a15a: 4581 li a1,0
4000a15c: 9782 jalr a5
4000a15e: ffc4d503 lhu a0,-4(s1)
4000a162: 67a1 lui a5,0x8
4000a164: 33878793 addi a5,a5,824 # 8338 <RvExcFrameSize+0x82a8>
4000a168: ffc48993 addi s3,s1,-4
4000a16c: 00f50f63 beq a0,a5,4000a18a <r_ke_free+0x60>
4000a170: 3fce07b7 lui a5,0x3fce0
4000a174: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a178: 3ff1b637 lui a2,0x3ff1b
4000a17c: 1a100693 li a3,417
4000a180: 47dc lw a5,12(a5)
4000a182: 83c60613 addi a2,a2,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a186: 85a6 mv a1,s1
4000a188: 9782 jalr a5
4000a18a: 77fd lui a5,0xfffff
4000a18c: 07bd addi a5,a5,15
4000a18e: 3fce0ab7 lui s5,0x3fce0
4000a192: fef49e23 sh a5,-4(s1)
4000a196: f84aa783 lw a5,-124(s5) # 3fcdff84 <r_osi_funcs_p>
4000a19a: 3fce0b37 lui s6,0x3fce0
4000a19e: ffe4da03 lhu s4,-2(s1)
4000a1a2: 4bdc lw a5,20(a5)
4000a1a4: 4901 li s2,0
4000a1a6: 3fce0c37 lui s8,0x3fce0
4000a1aa: 9782 jalr a5
4000a1ac: 4b91 li s7,4
4000a1ae: dd0b0b13 addi s6,s6,-560 # 3fcdfdd0 <ke_env>
4000a1b2: f88c2783 lw a5,-120(s8) # 3fcdff88 <r_modules_funcs_p>
4000a1b6: 85a6 mv a1,s1
4000a1b8: 854a mv a0,s2
4000a1ba: 12c7a783 lw a5,300(a5) # fffff12c <SPIMEM0+0x9fffc12c>
4000a1be: 9782 jalr a5
4000a1c0: c911 beqz a0,4000a1d4 <r_ke_free+0xaa>
4000a1c2: 00490793 addi a5,s2,4
4000a1c6: 078a slli a5,a5,0x2
4000a1c8: 97da add a5,a5,s6
4000a1ca: 4780 lw s0,8(a5)
4000a1cc: 05791563 bne s2,s7,4000a216 <r_ke_free+0xec>
4000a1d0: e421 bnez s0,4000a218 <r_ke_free+0xee>
4000a1d2: a031 j 4000a1de <r_ke_free+0xb4>
4000a1d4: 0905 addi s2,s2,1
4000a1d6: 0ff97913 andi s2,s2,255
4000a1da: fd791ce3 bne s2,s7,4000a1b2 <r_ke_free+0x88>
4000a1de: 3fce07b7 lui a5,0x3fce0
4000a1e2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a1e6: 3ff1b5b7 lui a1,0x3ff1b
4000a1ea: 1c100613 li a2,449
4000a1ee: 479c lw a5,8(a5)
4000a1f0: 83c58593 addi a1,a1,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a1f4: 4501 li a0,0
4000a1f6: 9782 jalr a5
4000a1f8: 4901 li s2,0
4000a1fa: 77e9 lui a5,0xffffa
4000a1fc: 01392223 sw s3,4(s2)
4000a200: 55a78793 addi a5,a5,1370 # ffffa55a <SPIMEM0+0x9fff755a>
4000a204: 0004a023 sw zero,0(s1)
4000a208: 0124a223 sw s2,4(s1)
4000a20c: ff449f23 sh s4,-2(s1)
4000a210: fef49e23 sh a5,-4(s1)
4000a214: a09d j 4000a27a <r_ke_free+0x150>
4000a216: dc51 beqz s0,4000a1b2 <r_ke_free+0x88>
4000a218: 08947163 bgeu s0,s1,4000a29a <r_ke_free+0x170>
4000a21c: 6b29 lui s6,0xa
4000a21e: 4901 li s2,0
4000a220: 55ab0b13 addi s6,s6,1370 # a55a <RvExcFrameSize+0xa4ca>
4000a224: 3fce0bb7 lui s7,0x3fce0
4000a228: 3ff1bc37 lui s8,0x3ff1b
4000a22c: d479 beqz s0,4000a1fa <r_ke_free+0xd0>
4000a22e: 00045783 lhu a5,0(s0)
4000a232: 01678b63 beq a5,s6,4000a248 <r_ke_free+0x11e>
4000a236: f80ba783 lw a5,-128(s7) # 3fcdff80 <r_plf_funcs_p>
4000a23a: 1ca00613 li a2,458
4000a23e: 83cc0593 addi a1,s8,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a242: 479c lw a5,8(a5)
4000a244: 4501 li a0,0
4000a246: 9782 jalr a5
4000a248: 00245783 lhu a5,2(s0)
4000a24c: 00878733 add a4,a5,s0
4000a250: 07371363 bne a4,s3,4000a2b6 <r_ke_free+0x18c>
4000a254: 97d2 add a5,a5,s4
4000a256: 07c2 slli a5,a5,0x10
4000a258: 4058 lw a4,4(s0)
4000a25a: 83c1 srli a5,a5,0x10
4000a25c: 00f41123 sh a5,2(s0)
4000a260: 008786b3 add a3,a5,s0
4000a264: 00d71b63 bne a4,a3,4000a27a <r_ke_free+0x150>
4000a268: 00275683 lhu a3,2(a4)
4000a26c: 97b6 add a5,a5,a3
4000a26e: 00f41123 sh a5,2(s0)
4000a272: 435c lw a5,4(a4)
4000a274: c05c sw a5,4(s0)
4000a276: c391 beqz a5,4000a27a <r_ke_free+0x150>
4000a278: c780 sw s0,8(a5)
4000a27a: f84aa783 lw a5,-124(s5)
4000a27e: 5422 lw s0,40(sp)
4000a280: 50b2 lw ra,44(sp)
4000a282: 5492 lw s1,36(sp)
4000a284: 5902 lw s2,32(sp)
4000a286: 49f2 lw s3,28(sp)
4000a288: 4a62 lw s4,24(sp)
4000a28a: 4ad2 lw s5,20(sp)
4000a28c: 4b42 lw s6,16(sp)
4000a28e: 4bb2 lw s7,12(sp)
4000a290: 4c22 lw s8,8(sp)
4000a292: 0187a303 lw t1,24(a5)
4000a296: 6145 addi sp,sp,48
4000a298: 8302 jr t1
4000a29a: 3fce07b7 lui a5,0x3fce0
4000a29e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a2a2: 3ff1b5b7 lui a1,0x3ff1b
4000a2a6: 1c200613 li a2,450
4000a2aa: 479c lw a5,8(a5)
4000a2ac: 83c58593 addi a1,a1,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a2b0: 4501 li a0,0
4000a2b2: 9782 jalr a5
4000a2b4: b7a5 j 4000a21c <r_ke_free+0xf2>
4000a2b6: 0689f063 bgeu s3,s0,4000a316 <r_ke_free+0x1ec>
4000a2ba: 00091f63 bnez s2,4000a2d8 <r_ke_free+0x1ae>
4000a2be: 3fce07b7 lui a5,0x3fce0
4000a2c2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a2c6: 3ff1b5b7 lui a1,0x3ff1b
4000a2ca: 1e500613 li a2,485
4000a2ce: 479c lw a5,8(a5)
4000a2d0: 83c58593 addi a1,a1,-1988 # 3ff1a83c <cs_ip_funcs_eco+0x80>
4000a2d4: 4501 li a0,0
4000a2d6: 9782 jalr a5
4000a2d8: 77e9 lui a5,0xffffa
4000a2da: 01392223 sw s3,4(s2)
4000a2de: 55a78793 addi a5,a5,1370 # ffffa55a <SPIMEM0+0x9fff755a>
4000a2e2: fef49e23 sh a5,-4(s1)
4000a2e6: 0124a223 sw s2,4(s1)
4000a2ea: 014987b3 add a5,s3,s4
4000a2ee: 00f41e63 bne s0,a5,4000a30a <r_ke_free+0x1e0>
4000a2f2: 405c lw a5,4(s0)
4000a2f4: c09c sw a5,0(s1)
4000a2f6: 405c lw a5,4(s0)
4000a2f8: c399 beqz a5,4000a2fe <r_ke_free+0x1d4>
4000a2fa: 0137a423 sw s3,8(a5)
4000a2fe: 00245783 lhu a5,2(s0)
4000a302: 97d2 add a5,a5,s4
4000a304: fef49f23 sh a5,-2(s1)
4000a308: bf8d j 4000a27a <r_ke_free+0x150>
4000a30a: c080 sw s0,0(s1)
4000a30c: 01342423 sw s3,8(s0)
4000a310: ff449f23 sh s4,-2(s1)
4000a314: b79d j 4000a27a <r_ke_free+0x150>
4000a316: 8922 mv s2,s0
4000a318: 4040 lw s0,4(s0)
4000a31a: bf09 j 4000a22c <r_ke_free+0x102>
4000a31c <r_ke_is_free>:
4000a31c: ffc55503 lhu a0,-4(a0)
4000a320: 77e1 lui a5,0xffff8
4000a322: cc878793 addi a5,a5,-824 # ffff7cc8 <SPIMEM0+0x9fff4cc8>
4000a326: 953e add a0,a0,a5
4000a328: 00a03533 snez a0,a0
4000a32c: 8082 ret
4000a32e <r_ke_msg_alloc>:
4000a32e: 3fce07b7 lui a5,0x3fce0
4000a332: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a336: 1101 addi sp,sp,-32
4000a338: cc22 sw s0,24(sp)
4000a33a: 1207a783 lw a5,288(a5)
4000a33e: ca26 sw s1,20(sp)
4000a340: c84a sw s2,16(sp)
4000a342: c64e sw s3,12(sp)
4000a344: c452 sw s4,8(sp)
4000a346: ce06 sw ra,28(sp)
4000a348: 8a2a mv s4,a0
4000a34a: 89ae mv s3,a1
4000a34c: 00c68513 addi a0,a3,12
4000a350: 4589 li a1,2
4000a352: 8932 mv s2,a2
4000a354: 84b6 mv s1,a3
4000a356: 9782 jalr a5
4000a358: 842a mv s0,a0
4000a35a: ed09 bnez a0,4000a374 <r_ke_msg_alloc+0x46>
4000a35c: 3fce07b7 lui a5,0x3fce0
4000a360: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a364: 3ff1b5b7 lui a1,0x3ff1b
4000a368: 03700613 li a2,55
4000a36c: 479c lw a5,8(a5)
4000a36e: 84858593 addi a1,a1,-1976 # 3ff1a848 <cs_ip_funcs_eco+0x8c>
4000a372: 9782 jalr a5
4000a374: 57fd li a5,-1
4000a376: 01441223 sh s4,4(s0)
4000a37a: 01341323 sh s3,6(s0)
4000a37e: 01241423 sh s2,8(s0)
4000a382: 00941523 sh s1,10(s0)
4000a386: c01c sw a5,0(s0)
4000a388: 0431 addi s0,s0,12
4000a38a: 8626 mv a2,s1
4000a38c: 8522 mv a0,s0
4000a38e: 4581 li a1,0
4000a390: 54e4e0ef jal ra,400588de <memset>
4000a394: 8522 mv a0,s0
4000a396: 40f2 lw ra,28(sp)
4000a398: 4462 lw s0,24(sp)
4000a39a: 44d2 lw s1,20(sp)
4000a39c: 4942 lw s2,16(sp)
4000a39e: 49b2 lw s3,12(sp)
4000a3a0: 4a22 lw s4,8(sp)
4000a3a2: 6105 addi sp,sp,32
4000a3a4: 8082 ret
4000a3a6 <r_ke_msg_send>:
4000a3a6: 1101 addi sp,sp,-32
4000a3a8: ca26 sw s1,20(sp)
4000a3aa: 3fce04b7 lui s1,0x3fce0
4000a3ae: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
4000a3b2: ce06 sw ra,28(sp)
4000a3b4: cc22 sw s0,24(sp)
4000a3b6: 4bdc lw a5,20(a5)
4000a3b8: c62a sw a0,12(sp)
4000a3ba: 3fce0437 lui s0,0x3fce0
4000a3be: 9782 jalr a5
4000a3c0: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000a3c4: 4532 lw a0,12(sp)
4000a3c6: 43fc lw a5,68(a5)
4000a3c8: ff450593 addi a1,a0,-12
4000a3cc: 3fce0537 lui a0,0x3fce0
4000a3d0: dd050513 addi a0,a0,-560 # 3fcdfdd0 <ke_env>
4000a3d4: 9782 jalr a5
4000a3d6: f844a783 lw a5,-124(s1)
4000a3da: 4f9c lw a5,24(a5)
4000a3dc: 9782 jalr a5
4000a3de: f8842783 lw a5,-120(s0)
4000a3e2: 4462 lw s0,24(sp)
4000a3e4: 40f2 lw ra,28(sp)
4000a3e6: 44d2 lw s1,20(sp)
4000a3e8: 1087a303 lw t1,264(a5)
4000a3ec: 450d li a0,3
4000a3ee: 6105 addi sp,sp,32
4000a3f0: 8302 jr t1
4000a3f2 <r_ke_msg_send_basic>:
4000a3f2: 1141 addi sp,sp,-16
4000a3f4: c422 sw s0,8(sp)
4000a3f6: 3fce0437 lui s0,0x3fce0
4000a3fa: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000a3fe: c606 sw ra,12(sp)
4000a400: 4681 li a3,0
4000a402: 0c87a783 lw a5,200(a5)
4000a406: 9782 jalr a5
4000a408: f8842783 lw a5,-120(s0)
4000a40c: 4422 lw s0,8(sp)
4000a40e: 40b2 lw ra,12(sp)
4000a410: 0e07a303 lw t1,224(a5)
4000a414: 0141 addi sp,sp,16
4000a416: 8302 jr t1
4000a418 <r_ke_msg_forward>:
4000a418: 3fce07b7 lui a5,0x3fce0
4000a41c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a420: feb51d23 sh a1,-6(a0)
4000a424: fec51e23 sh a2,-4(a0)
4000a428: 0e07a303 lw t1,224(a5)
4000a42c: 8302 jr t1
4000a42e <r_ke_msg_forward_new_id>:
4000a42e: 3fce07b7 lui a5,0x3fce0
4000a432: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a436: feb51c23 sh a1,-8(a0)
4000a43a: fec51d23 sh a2,-6(a0)
4000a43e: 0e07a303 lw t1,224(a5)
4000a442: fed51e23 sh a3,-4(a0)
4000a446: 8302 jr t1
4000a448 <r_ke_msg_free>:
4000a448: 3fce07b7 lui a5,0x3fce0
4000a44c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a450: 1107a303 lw t1,272(a5)
4000a454: 8302 jr t1
4000a456 <r_ke_msg_dest_id_get>:
4000a456: ffa55503 lhu a0,-6(a0)
4000a45a: 8082 ret
4000a45c <r_ke_msg_src_id_get>:
4000a45c: ffc55503 lhu a0,-4(a0)
4000a460: 8082 ret
4000a462 <r_ke_msg_in_queue>:
4000a462: ff452503 lw a0,-12(a0)
4000a466: 0505 addi a0,a0,1
4000a468: 00a03533 snez a0,a0
4000a46c: 8082 ret
4000a46e <r_ke_init>:
4000a46e: 1141 addi sp,sp,-16
4000a470: c226 sw s1,4(sp)
4000a472: 3fce04b7 lui s1,0x3fce0
4000a476: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
4000a47a: c422 sw s0,8(sp)
4000a47c: 3fce0437 lui s0,0x3fce0
4000a480: 0fc7a783 lw a5,252(a5)
4000a484: dd040413 addi s0,s0,-560 # 3fcdfdd0 <ke_env>
4000a488: c606 sw ra,12(sp)
4000a48a: 00042c23 sw zero,24(s0)
4000a48e: 00042e23 sw zero,28(s0)
4000a492: 02042023 sw zero,32(s0)
4000a496: 02042223 sw zero,36(s0)
4000a49a: 02042423 sw zero,40(s0)
4000a49e: 02042623 sw zero,44(s0)
4000a4a2: 9782 jalr a5
4000a4a4: f884a783 lw a5,-120(s1)
4000a4a8: 00042423 sw zero,8(s0)
4000a4ac: 00042623 sw zero,12(s0)
4000a4b0: 1707a783 lw a5,368(a5)
4000a4b4: 00042023 sw zero,0(s0)
4000a4b8: 00042223 sw zero,4(s0)
4000a4bc: 00042823 sw zero,16(s0)
4000a4c0: 00042a23 sw zero,20(s0)
4000a4c4: 9782 jalr a5
4000a4c6: f884a783 lw a5,-120(s1)
4000a4ca: 4422 lw s0,8(sp)
4000a4cc: 40b2 lw ra,12(sp)
4000a4ce: 4492 lw s1,4(sp)
4000a4d0: 0bc7a303 lw t1,188(a5)
4000a4d4: 0141 addi sp,sp,16
4000a4d6: 8302 jr t1
4000a4d8 <r_ke_flush>:
4000a4d8: 1101 addi sp,sp,-32
4000a4da: c84a sw s2,16(sp)
4000a4dc: c64e sw s3,12(sp)
4000a4de: 3fce0937 lui s2,0x3fce0
4000a4e2: 3fce09b7 lui s3,0x3fce0
4000a4e6: cc22 sw s0,24(sp)
4000a4e8: ca26 sw s1,20(sp)
4000a4ea: ce06 sw ra,28(sp)
4000a4ec: 844e mv s0,s3
4000a4ee: 84ca mv s1,s2
4000a4f0: f8892783 lw a5,-120(s2) # 3fcdff88 <r_modules_funcs_p>
4000a4f4: dd098513 addi a0,s3,-560 # 3fcdfdd0 <ke_env>
4000a4f8: 43bc lw a5,64(a5)
4000a4fa: 9782 jalr a5
4000a4fc: e91d bnez a0,4000a532 <r_ke_flush+0x5a>
4000a4fe: dd040413 addi s0,s0,-560
4000a502: 0421 addi s0,s0,8
4000a504: f884a783 lw a5,-120(s1)
4000a508: 8522 mv a0,s0
4000a50a: 43bc lw a5,64(a5)
4000a50c: 9782 jalr a5
4000a50e: e905 bnez a0,4000a53e <r_ke_flush+0x66>
4000a510: 3fce0437 lui s0,0x3fce0
4000a514: dd040413 addi s0,s0,-560 # 3fcdfdd0 <ke_env>
4000a518: 0441 addi s0,s0,16
4000a51a: f884a783 lw a5,-120(s1)
4000a51e: 8522 mv a0,s0
4000a520: 43bc lw a5,64(a5)
4000a522: 9782 jalr a5
4000a524: c11d beqz a0,4000a54a <r_ke_flush+0x72>
4000a526: f884a783 lw a5,-120(s1)
4000a52a: 1107a783 lw a5,272(a5)
4000a52e: 9782 jalr a5
4000a530: b7ed j 4000a51a <r_ke_flush+0x42>
4000a532: f8892783 lw a5,-120(s2)
4000a536: 0d87a783 lw a5,216(a5)
4000a53a: 9782 jalr a5
4000a53c: bf55 j 4000a4f0 <r_ke_flush+0x18>
4000a53e: f884a783 lw a5,-120(s1)
4000a542: 0d87a783 lw a5,216(a5)
4000a546: 9782 jalr a5
4000a548: bf75 j 4000a504 <r_ke_flush+0x2c>
4000a54a: 40f2 lw ra,28(sp)
4000a54c: 4462 lw s0,24(sp)
4000a54e: 44d2 lw s1,20(sp)
4000a550: 4942 lw s2,16(sp)
4000a552: 49b2 lw s3,12(sp)
4000a554: 6105 addi sp,sp,32
4000a556: 8082 ret
4000a558 <r_ke_sleep_check>:
4000a558: 3fce07b7 lui a5,0x3fce0
4000a55c: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a560: 1141 addi sp,sp,-16
4000a562: c606 sw ra,12(sp)
4000a564: 0f87a783 lw a5,248(a5)
4000a568: 9782 jalr a5
4000a56a: 40b2 lw ra,12(sp)
4000a56c: 00153513 seqz a0,a0
4000a570: 0141 addi sp,sp,16
4000a572: 8082 ret
4000a574 <r_ke_queue_extract>:
4000a574: 7179 addi sp,sp,-48
4000a576: d422 sw s0,40(sp)
4000a578: 4100 lw s0,0(a0)
4000a57a: d226 sw s1,36(sp)
4000a57c: d04a sw s2,32(sp)
4000a57e: ce4e sw s3,28(sp)
4000a580: d606 sw ra,44(sp)
4000a582: 892a mv s2,a0
4000a584: 89ae mv s3,a1
4000a586: 4481 li s1,0
4000a588: cc11 beqz s0,4000a5a4 <r_ke_queue_extract+0x30>
4000a58a: 85b2 mv a1,a2
4000a58c: 8522 mv a0,s0
4000a58e: c632 sw a2,12(sp)
4000a590: 9982 jalr s3
4000a592: 401c lw a5,0(s0)
4000a594: 4632 lw a2,12(sp)
4000a596: c50d beqz a0,4000a5c0 <r_ke_queue_extract+0x4c>
4000a598: cc91 beqz s1,4000a5b4 <r_ke_queue_extract+0x40>
4000a59a: c09c sw a5,0(s1)
4000a59c: 401c lw a5,0(s0)
4000a59e: cf91 beqz a5,4000a5ba <r_ke_queue_extract+0x46>
4000a5a0: 00042023 sw zero,0(s0)
4000a5a4: 8522 mv a0,s0
4000a5a6: 50b2 lw ra,44(sp)
4000a5a8: 5422 lw s0,40(sp)
4000a5aa: 5492 lw s1,36(sp)
4000a5ac: 5902 lw s2,32(sp)
4000a5ae: 49f2 lw s3,28(sp)
4000a5b0: 6145 addi sp,sp,48
4000a5b2: 8082 ret
4000a5b4: 00f92023 sw a5,0(s2)
4000a5b8: b7d5 j 4000a59c <r_ke_queue_extract+0x28>
4000a5ba: 00992223 sw s1,4(s2)
4000a5be: b7dd j 4000a5a4 <r_ke_queue_extract+0x30>
4000a5c0: 84a2 mv s1,s0
4000a5c2: 843e mv s0,a5
4000a5c4: b7d1 j 4000a588 <r_ke_queue_extract+0x14>
4000a5c6 <r_ke_queue_insert>:
4000a5c6: 7179 addi sp,sp,-48
4000a5c8: d422 sw s0,40(sp)
4000a5ca: 4100 lw s0,0(a0)
4000a5cc: d226 sw s1,36(sp)
4000a5ce: d04a sw s2,32(sp)
4000a5d0: ce4e sw s3,28(sp)
4000a5d2: d606 sw ra,44(sp)
4000a5d4: 892a mv s2,a0
4000a5d6: 84ae mv s1,a1
4000a5d8: 4981 li s3,0
4000a5da: c811 beqz s0,4000a5ee <r_ke_queue_insert+0x28>
4000a5dc: 85a2 mv a1,s0
4000a5de: 8526 mv a0,s1
4000a5e0: c632 sw a2,12(sp)
4000a5e2: 9602 jalr a2
4000a5e4: e519 bnez a0,4000a5f2 <r_ke_queue_insert+0x2c>
4000a5e6: 89a2 mv s3,s0
4000a5e8: 4632 lw a2,12(sp)
4000a5ea: 4000 lw s0,0(s0)
4000a5ec: b7fd j 4000a5da <r_ke_queue_insert+0x14>
4000a5ee: 00992223 sw s1,4(s2)
4000a5f2: c080 sw s0,0(s1)
4000a5f4: 00098b63 beqz s3,4000a60a <r_ke_queue_insert+0x44>
4000a5f8: 0099a023 sw s1,0(s3)
4000a5fc: 50b2 lw ra,44(sp)
4000a5fe: 5422 lw s0,40(sp)
4000a600: 5492 lw s1,36(sp)
4000a602: 5902 lw s2,32(sp)
4000a604: 49f2 lw s3,28(sp)
4000a606: 6145 addi sp,sp,48
4000a608: 8082 ret
4000a60a: 00992023 sw s1,0(s2)
4000a60e: b7fd j 4000a5fc <r_ke_queue_insert+0x36>
4000a610 <r_cmp_dest_id>:
4000a610: 00655503 lhu a0,6(a0)
4000a614: 8d0d sub a0,a0,a1
4000a616: 00153513 seqz a0,a0
4000a61a: 8082 ret
4000a61c <r_ke_task_saved_update>:
4000a61c: 7179 addi sp,sp,-48
4000a61e: d422 sw s0,40(sp)
4000a620: d226 sw s1,36(sp)
4000a622: d04a sw s2,32(sp)
4000a624: ce4e sw s3,28(sp)
4000a626: cc52 sw s4,24(sp)
4000a628: d606 sw ra,44(sp)
4000a62a: 89aa mv s3,a0
4000a62c: 3fce0437 lui s0,0x3fce0
4000a630: 3fce0a37 lui s4,0x3fce0
4000a634: 3fce04b7 lui s1,0x3fce0
4000a638: 3fce0937 lui s2,0x3fce0
4000a63c: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000a640: 864e mv a2,s3
4000a642: dd8a0513 addi a0,s4,-552 # 3fcdfdd8 <ke_env+0x8>
4000a646: 1587a703 lw a4,344(a5)
4000a64a: 1407a583 lw a1,320(a5)
4000a64e: 9702 jalr a4
4000a650: c62a sw a0,12(sp)
4000a652: c51d beqz a0,4000a680 <r_ke_task_saved_update+0x64>
4000a654: f844a783 lw a5,-124(s1) # 3fcdff84 <r_osi_funcs_p>
4000a658: 4bdc lw a5,20(a5)
4000a65a: 9782 jalr a5
4000a65c: f8842783 lw a5,-120(s0)
4000a660: 45b2 lw a1,12(sp)
4000a662: dd090513 addi a0,s2,-560 # 3fcdfdd0 <ke_env>
4000a666: 43fc lw a5,68(a5)
4000a668: 9782 jalr a5
4000a66a: f844a783 lw a5,-124(s1)
4000a66e: 4f9c lw a5,24(a5)
4000a670: 9782 jalr a5
4000a672: f8842783 lw a5,-120(s0)
4000a676: 450d li a0,3
4000a678: 1087a783 lw a5,264(a5)
4000a67c: 9782 jalr a5
4000a67e: bf7d j 4000a63c <r_ke_task_saved_update+0x20>
4000a680: 50b2 lw ra,44(sp)
4000a682: 5422 lw s0,40(sp)
4000a684: 5492 lw s1,36(sp)
4000a686: 5902 lw s2,32(sp)
4000a688: 49f2 lw s3,28(sp)
4000a68a: 4a62 lw s4,24(sp)
4000a68c: 6145 addi sp,sp,48
4000a68e: 8082 ret
4000a690 <r_ke_handler_search>:
4000a690: 67c1 lui a5,0x10
4000a692: 167d addi a2,a2,-1
4000a694: 56fd li a3,-1
4000a696: 17fd addi a5,a5,-1
4000a698: 00d61b63 bne a2,a3,4000a6ae <r_ke_handler_search+0x1e>
4000a69c: 4501 li a0,0
4000a69e: 8082 ret
4000a6a0: 02f70163 beq a4,a5,4000a6c2 <r_ke_handler_search+0x32>
4000a6a4: 167d addi a2,a2,-1
4000a6a6: 00d61763 bne a2,a3,4000a6b4 <r_ke_handler_search+0x24>
4000a6aa: 4501 li a0,0
4000a6ac: a81d j 4000a6e2 <r_ke_handler_search+0x52>
4000a6ae: 1141 addi sp,sp,-16
4000a6b0: c606 sw ra,12(sp)
4000a6b2: c422 sw s0,8(sp)
4000a6b4: 00361413 slli s0,a2,0x3
4000a6b8: 942e add s0,s0,a1
4000a6ba: 00045703 lhu a4,0(s0)
4000a6be: fea711e3 bne a4,a0,4000a6a0 <r_ke_handler_search+0x10>
4000a6c2: 405c lw a5,4(s0)
4000a6c4: ef91 bnez a5,4000a6e0 <r_ke_handler_search+0x50>
4000a6c6: 3fce07b7 lui a5,0x3fce0
4000a6ca: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a6ce: 3ff1b5b7 lui a1,0x3ff1b
4000a6d2: 09a00613 li a2,154
4000a6d6: 479c lw a5,8(a5)
4000a6d8: 85458593 addi a1,a1,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a6dc: 4501 li a0,0
4000a6de: 9782 jalr a5
4000a6e0: 4048 lw a0,4(s0)
4000a6e2: 40b2 lw ra,12(sp)
4000a6e4: 4422 lw s0,8(sp)
4000a6e6: 0141 addi sp,sp,16
4000a6e8: 8082 ret
4000a6ea <r_ke_task_handler_get>:
4000a6ea: 1101 addi sp,sp,-32
4000a6ec: cc22 sw s0,24(sp)
4000a6ee: ca26 sw s1,20(sp)
4000a6f0: c84a sw s2,16(sp)
4000a6f2: ce06 sw ra,28(sp)
4000a6f4: c64e sw s3,12(sp)
4000a6f6: 0ff5f413 andi s0,a1,255
4000a6fa: 47f9 li a5,30
4000a6fc: 892a mv s2,a0
4000a6fe: 84ae mv s1,a1
4000a700: 0087ff63 bgeu a5,s0,4000a71e <r_ke_task_handler_get+0x34>
4000a704: 3fce07b7 lui a5,0x3fce0
4000a708: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a70c: 3ff1b637 lui a2,0x3ff1b
4000a710: 0b600693 li a3,182
4000a714: 47dc lw a5,12(a5)
4000a716: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a71a: 85a2 mv a1,s0
4000a71c: 9782 jalr a5
4000a71e: 3fce05b7 lui a1,0x3fce0
4000a722: 040a slli s0,s0,0x2
4000a724: d1458593 addi a1,a1,-748 # 3fcdfd14 <ke_task_env>
4000a728: 95a2 add a1,a1,s0
4000a72a: 4180 lw s0,0(a1)
4000a72c: 00845783 lhu a5,8(s0)
4000a730: c799 beqz a5,4000a73e <r_ke_task_handler_get+0x54>
4000a732: 0084d993 srli s3,s1,0x8
4000a736: 00f9fc63 bgeu s3,a5,4000a74e <r_ke_task_handler_get+0x64>
4000a73a: 400c lw a1,0(s0)
4000a73c: ed85 bnez a1,4000a774 <r_ke_task_handler_get+0x8a>
4000a73e: 40f2 lw ra,28(sp)
4000a740: 4462 lw s0,24(sp)
4000a742: 44d2 lw s1,20(sp)
4000a744: 4942 lw s2,16(sp)
4000a746: 49b2 lw s3,12(sp)
4000a748: 4501 li a0,0
4000a74a: 6105 addi sp,sp,32
4000a74c: 8082 ret
4000a74e: 3fce07b7 lui a5,0x3fce0
4000a752: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a756: 3ff1b637 lui a2,0x3ff1b
4000a75a: 0be00693 li a3,190
4000a75e: 47dc lw a5,12(a5)
4000a760: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a764: 85ca mv a1,s2
4000a766: 8526 mv a0,s1
4000a768: 9782 jalr a5
4000a76a: 00845783 lhu a5,8(s0)
4000a76e: fcf9f8e3 bgeu s3,a5,4000a73e <r_ke_task_handler_get+0x54>
4000a772: b7e1 j 4000a73a <r_ke_task_handler_get+0x50>
4000a774: 3fce07b7 lui a5,0x3fce0
4000a778: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a77c: 00a45603 lhu a2,10(s0)
4000a780: 4462 lw s0,24(sp)
4000a782: 40f2 lw ra,28(sp)
4000a784: 44d2 lw s1,20(sp)
4000a786: 49b2 lw s3,12(sp)
4000a788: 1447a303 lw t1,324(a5)
4000a78c: 854a mv a0,s2
4000a78e: 4942 lw s2,16(sp)
4000a790: 6105 addi sp,sp,32
4000a792: 8302 jr t1
4000a794 <r_ke_task_schedule>:
4000a794: 1101 addi sp,sp,-32
4000a796: c84a sw s2,16(sp)
4000a798: 3fce0937 lui s2,0x3fce0
4000a79c: f8492783 lw a5,-124(s2) # 3fcdff84 <r_osi_funcs_p>
4000a7a0: ce06 sw ra,28(sp)
4000a7a2: cc22 sw s0,24(sp)
4000a7a4: 4bdc lw a5,20(a5)
4000a7a6: ca26 sw s1,20(sp)
4000a7a8: c64e sw s3,12(sp)
4000a7aa: 9782 jalr a5
4000a7ac: 3fce04b7 lui s1,0x3fce0
4000a7b0: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
4000a7b4: 3fce09b7 lui s3,0x3fce0
4000a7b8: dd098513 addi a0,s3,-560 # 3fcdfdd0 <ke_env>
4000a7bc: 43bc lw a5,64(a5)
4000a7be: 9782 jalr a5
4000a7c0: f8492783 lw a5,-124(s2)
4000a7c4: 842a mv s0,a0
4000a7c6: 4f9c lw a5,24(a5)
4000a7c8: 9782 jalr a5
4000a7ca: c81d beqz s0,4000a800 <r_ke_task_schedule+0x6c>
4000a7cc: 57fd li a5,-1
4000a7ce: c01c sw a5,0(s0)
4000a7d0: f884a783 lw a5,-120(s1)
4000a7d4: 8522 mv a0,s0
4000a7d6: 11c7a783 lw a5,284(a5)
4000a7da: 9782 jalr a5
4000a7dc: 00645583 lhu a1,6(s0)
4000a7e0: 00445783 lhu a5,4(s0)
4000a7e4: c531 beqz a0,4000a830 <r_ke_task_schedule+0x9c>
4000a7e6: 3fce0737 lui a4,0x3fce0
4000a7ea: f8072703 lw a4,-128(a4) # 3fcdff80 <r_plf_funcs_p>
4000a7ee: 3ff1b637 lui a2,0x3ff1b
4000a7f2: 0ec00693 li a3,236
4000a7f6: 4758 lw a4,12(a4)
4000a7f8: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a7fc: 853e mv a0,a5
4000a7fe: 9702 jalr a4
4000a800: f8492783 lw a5,-124(s2)
4000a804: 4bdc lw a5,20(a5)
4000a806: 9782 jalr a5
4000a808: dd09a783 lw a5,-560(s3)
4000a80c: e799 bnez a5,4000a81a <r_ke_task_schedule+0x86>
4000a80e: f884a783 lw a5,-120(s1)
4000a812: 450d li a0,3
4000a814: 0ec7a783 lw a5,236(a5)
4000a818: 9782 jalr a5
4000a81a: f8492783 lw a5,-124(s2)
4000a81e: 4462 lw s0,24(sp)
4000a820: 40f2 lw ra,28(sp)
4000a822: 44d2 lw s1,20(sp)
4000a824: 4942 lw s2,16(sp)
4000a826: 49b2 lw s3,12(sp)
4000a828: 0187a303 lw t1,24(a5)
4000a82c: 6105 addi sp,sp,32
4000a82e: 8302 jr t1
4000a830: f884a703 lw a4,-120(s1)
4000a834: 853e mv a0,a5
4000a836: 16c72703 lw a4,364(a4)
4000a83a: 9702 jalr a4
4000a83c: 87aa mv a5,a0
4000a83e: 00645583 lhu a1,6(s0)
4000a842: 00445503 lhu a0,4(s0)
4000a846: ef95 bnez a5,4000a882 <r_ke_task_schedule+0xee>
4000a848: 3fce07b7 lui a5,0x3fce0
4000a84c: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a850: 3ff1b637 lui a2,0x3ff1b
4000a854: 0f400693 li a3,244
4000a858: 4b9c lw a5,16(a5)
4000a85a: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a85e: 9782 jalr a5
4000a860: f884a783 lw a5,-120(s1)
4000a864: 8522 mv a0,s0
4000a866: 0d87a783 lw a5,216(a5)
4000a86a: 9782 jalr a5
4000a86c: bf51 j 4000a800 <r_ke_task_schedule+0x6c>
4000a86e: f884a783 lw a5,-120(s1)
4000a872: 3fce0537 lui a0,0x3fce0
4000a876: 85a2 mv a1,s0
4000a878: 43fc lw a5,68(a5)
4000a87a: dd850513 addi a0,a0,-552 # 3fcdfdd8 <ke_env+0x8>
4000a87e: 9782 jalr a5
4000a880: b741 j 4000a800 <r_ke_task_schedule+0x6c>
4000a882: 00845683 lhu a3,8(s0)
4000a886: 862e mv a2,a1
4000a888: 00c40593 addi a1,s0,12
4000a88c: 9782 jalr a5
4000a88e: 4785 li a5,1
4000a890: f6f508e3 beq a0,a5,4000a800 <r_ke_task_schedule+0x6c>
4000a894: 4789 li a5,2
4000a896: fcf50ce3 beq a0,a5,4000a86e <r_ke_task_schedule+0xda>
4000a89a: d179 beqz a0,4000a860 <r_ke_task_schedule+0xcc>
4000a89c: 3fce07b7 lui a5,0x3fce0
4000a8a0: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a8a4: 3ff1b5b7 lui a1,0x3ff1b
4000a8a8: 11400613 li a2,276
4000a8ac: 479c lw a5,8(a5)
4000a8ae: 85458593 addi a1,a1,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a8b2: 4501 li a0,0
4000a8b4: 9782 jalr a5
4000a8b6: b7a9 j 4000a800 <r_ke_task_schedule+0x6c>
4000a8b8 <r_ke_task_init>:
4000a8b8: 3fce0537 lui a0,0x3fce0
4000a8bc: 1141 addi sp,sp,-16
4000a8be: 4581 li a1,0
4000a8c0: 07c00613 li a2,124
4000a8c4: d1450513 addi a0,a0,-748 # 3fcdfd14 <ke_task_env>
4000a8c8: c606 sw ra,12(sp)
4000a8ca: 0144e0ef jal ra,400588de <memset>
4000a8ce: 3fce07b7 lui a5,0x3fce0
4000a8d2: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000a8d6: 40b2 lw ra,12(sp)
4000a8d8: 450d li a0,3
4000a8da: 1007a303 lw t1,256(a5)
4000a8de: 17c7a583 lw a1,380(a5)
4000a8e2: 0141 addi sp,sp,16
4000a8e4: 8302 jr t1
4000a8e6 <r_ke_task_create>:
4000a8e6: 1101 addi sp,sp,-32
4000a8e8: cc22 sw s0,24(sp)
4000a8ea: 3fce0437 lui s0,0x3fce0
4000a8ee: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
4000a8f2: ce06 sw ra,28(sp)
4000a8f4: c62a sw a0,12(sp)
4000a8f6: 4bdc lw a5,20(a5)
4000a8f8: c42e sw a1,8(sp)
4000a8fa: 9782 jalr a5
4000a8fc: 4532 lw a0,12(sp)
4000a8fe: 47f9 li a5,30
4000a900: 45a2 lw a1,8(sp)
4000a902: 02a7fa63 bgeu a5,a0,4000a936 <r_ke_task_create+0x50>
4000a906: 3fce07b7 lui a5,0x3fce0
4000a90a: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a90e: 3ff1b637 lui a2,0x3ff1b
4000a912: 13800693 li a3,312
4000a916: 47dc lw a5,12(a5)
4000a918: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a91c: 45fd li a1,31
4000a91e: 9782 jalr a5
4000a920: 450d li a0,3
4000a922: f8442783 lw a5,-124(s0)
4000a926: c42a sw a0,8(sp)
4000a928: 4f9c lw a5,24(a5)
4000a92a: 9782 jalr a5
4000a92c: 40f2 lw ra,28(sp)
4000a92e: 4462 lw s0,24(sp)
4000a930: 4522 lw a0,8(sp)
4000a932: 6105 addi sp,sp,32
4000a934: 8082 ret
4000a936: 3fce07b7 lui a5,0x3fce0
4000a93a: 050a slli a0,a0,0x2
4000a93c: d1478793 addi a5,a5,-748 # 3fcdfd14 <ke_task_env>
4000a940: 97aa add a5,a5,a0
4000a942: 4398 lw a4,0(a5)
4000a944: 4511 li a0,4
4000a946: ff71 bnez a4,4000a922 <r_ke_task_create+0x3c>
4000a948: c38c sw a1,0(a5)
4000a94a: 4501 li a0,0
4000a94c: bfd9 j 4000a922 <r_ke_task_create+0x3c>
4000a94e <r_ke_task_delete>:
4000a94e: 1101 addi sp,sp,-32
4000a950: cc22 sw s0,24(sp)
4000a952: 3fce0437 lui s0,0x3fce0
4000a956: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
4000a95a: ce06 sw ra,28(sp)
4000a95c: c62a sw a0,12(sp)
4000a95e: 4bdc lw a5,20(a5)
4000a960: 9782 jalr a5
4000a962: 4532 lw a0,12(sp)
4000a964: 47f9 li a5,30
4000a966: 02a7e663 bltu a5,a0,4000a992 <r_ke_task_delete+0x44>
4000a96a: 00251793 slli a5,a0,0x2
4000a96e: 3fce0537 lui a0,0x3fce0
4000a972: d1450513 addi a0,a0,-748 # 3fcdfd14 <ke_task_env>
4000a976: 953e add a0,a0,a5
4000a978: 00052023 sw zero,0(a0)
4000a97c: 4501 li a0,0
4000a97e: f8442783 lw a5,-124(s0)
4000a982: c62a sw a0,12(sp)
4000a984: 4f9c lw a5,24(a5)
4000a986: 9782 jalr a5
4000a988: 40f2 lw ra,28(sp)
4000a98a: 4462 lw s0,24(sp)
4000a98c: 4532 lw a0,12(sp)
4000a98e: 6105 addi sp,sp,32
4000a990: 8082 ret
4000a992: 4509 li a0,2
4000a994: b7ed j 4000a97e <r_ke_task_delete+0x30>
4000a996 <r_ke_state_set>:
4000a996: 1101 addi sp,sp,-32
4000a998: cc22 sw s0,24(sp)
4000a99a: c84a sw s2,16(sp)
4000a99c: ce06 sw ra,28(sp)
4000a99e: ca26 sw s1,20(sp)
4000a9a0: c64e sw s3,12(sp)
4000a9a2: c452 sw s4,8(sp)
4000a9a4: 0ff57913 andi s2,a0,255
4000a9a8: 47f9 li a5,30
4000a9aa: 00855413 srli s0,a0,0x8
4000a9ae: 0727f963 bgeu a5,s2,4000aa20 <r_ke_state_set+0x8a>
4000a9b2: 3fce07b7 lui a5,0x3fce0
4000a9b6: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a9ba: 3ff1b5b7 lui a1,0x3ff1b
4000a9be: 16e00613 li a2,366
4000a9c2: 479c lw a5,8(a5)
4000a9c4: 85458593 addi a1,a1,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a9c8: 4501 li a0,0
4000a9ca: 9782 jalr a5
4000a9cc: 3fce07b7 lui a5,0x3fce0
4000a9d0: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a9d4: 3ff1b637 lui a2,0x3ff1b
4000a9d8: 17500693 li a3,373
4000a9dc: 47dc lw a5,12(a5)
4000a9de: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000a9e2: 85a2 mv a1,s0
4000a9e4: 854a mv a0,s2
4000a9e6: 9782 jalr a5
4000a9e8: 00805783 lhu a5,8(zero) # 8 <RV_STK_SP>
4000a9ec: 9002 ebreak
4000a9ee: 3fce07b7 lui a5,0x3fce0
4000a9f2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000a9f6: 3ff1b637 lui a2,0x3ff1b
4000a9fa: 17600693 li a3,374
4000a9fe: 47dc lw a5,12(a5)
4000aa00: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000aa04: 8522 mv a0,s0
4000aa06: 9782 jalr a5
4000aa08: 0084d783 lhu a5,8(s1)
4000aa0c: 02f46963 bltu s0,a5,4000aa3e <r_ke_state_set+0xa8>
4000aa10: 40f2 lw ra,28(sp)
4000aa12: 4462 lw s0,24(sp)
4000aa14: 44d2 lw s1,20(sp)
4000aa16: 4942 lw s2,16(sp)
4000aa18: 49b2 lw s3,12(sp)
4000aa1a: 4a22 lw s4,8(sp)
4000aa1c: 6105 addi sp,sp,32
4000aa1e: 8082 ret
4000aa20: 3fce0737 lui a4,0x3fce0
4000aa24: 00291793 slli a5,s2,0x2
4000aa28: d1470713 addi a4,a4,-748 # 3fcdfd14 <ke_task_env>
4000aa2c: 97ba add a5,a5,a4
4000aa2e: 4384 lw s1,0(a5)
4000aa30: dcd1 beqz s1,4000a9cc <r_ke_state_set+0x36>
4000aa32: 8a2e mv s4,a1
4000aa34: 0084d583 lhu a1,8(s1)
4000aa38: 89aa mv s3,a0
4000aa3a: fab47ae3 bgeu s0,a1,4000a9ee <r_ke_state_set+0x58>
4000aa3e: 40dc lw a5,4(s1)
4000aa40: 943e add s0,s0,a5
4000aa42: ec11 bnez s0,4000aa5e <r_ke_state_set+0xc8>
4000aa44: 3fce07b7 lui a5,0x3fce0
4000aa48: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000aa4c: 3ff1b5b7 lui a1,0x3ff1b
4000aa50: 17e00613 li a2,382
4000aa54: 479c lw a5,8(a5)
4000aa56: 85458593 addi a1,a1,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000aa5a: 4501 li a0,0
4000aa5c: 9782 jalr a5
4000aa5e: 00044783 lbu a5,0(s0)
4000aa62: fb4787e3 beq a5,s4,4000aa10 <r_ke_state_set+0x7a>
4000aa66: 01440023 sb s4,0(s0)
4000aa6a: 3fce07b7 lui a5,0x3fce0
4000aa6e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000aa72: 4462 lw s0,24(sp)
4000aa74: 40f2 lw ra,28(sp)
4000aa76: 44d2 lw s1,20(sp)
4000aa78: 4942 lw s2,16(sp)
4000aa7a: 4a22 lw s4,8(sp)
4000aa7c: 1787a303 lw t1,376(a5)
4000aa80: 854e mv a0,s3
4000aa82: 49b2 lw s3,12(sp)
4000aa84: 6105 addi sp,sp,32
4000aa86: 8302 jr t1
4000aa88 <r_ke_state_get>:
4000aa88: 1141 addi sp,sp,-16
4000aa8a: c422 sw s0,8(sp)
4000aa8c: c226 sw s1,4(sp)
4000aa8e: c606 sw ra,12(sp)
4000aa90: c04a sw s2,0(sp)
4000aa92: 0ff57413 andi s0,a0,255
4000aa96: 47f9 li a5,30
4000aa98: 00855493 srli s1,a0,0x8
4000aa9c: 0687f463 bgeu a5,s0,4000ab04 <r_ke_state_get+0x7c>
4000aaa0: 3fce07b7 lui a5,0x3fce0
4000aaa4: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000aaa8: 3ff1b5b7 lui a1,0x3ff1b
4000aaac: 19300613 li a2,403
4000aab0: 479c lw a5,8(a5)
4000aab2: 85458593 addi a1,a1,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000aab6: 4501 li a0,0
4000aab8: 9782 jalr a5
4000aaba: 3fce07b7 lui a5,0x3fce0
4000aabe: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000aac2: 3ff1b637 lui a2,0x3ff1b
4000aac6: 19a00693 li a3,410
4000aaca: 47dc lw a5,12(a5)
4000aacc: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000aad0: 85a6 mv a1,s1
4000aad2: 8522 mv a0,s0
4000aad4: 9782 jalr a5
4000aad6: 00805783 lhu a5,8(zero) # 8 <RV_STK_SP>
4000aada: 9002 ebreak
4000aadc: 3fce07b7 lui a5,0x3fce0
4000aae0: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000aae4: 3ff1b637 lui a2,0x3ff1b
4000aae8: 8526 mv a0,s1
4000aaea: 47dc lw a5,12(a5)
4000aaec: 19b00693 li a3,411
4000aaf0: 85460613 addi a2,a2,-1964 # 3ff1a854 <cs_ip_funcs_eco+0x98>
4000aaf4: 9782 jalr a5
4000aaf6: 00895783 lhu a5,8(s2)
4000aafa: 0ff00513 li a0,255
4000aafe: 02f4f763 bgeu s1,a5,4000ab2c <r_ke_state_get+0xa4>
4000ab02: a005 j 4000ab22 <r_ke_state_get+0x9a>
4000ab04: 3fce0737 lui a4,0x3fce0
4000ab08: 00241793 slli a5,s0,0x2
4000ab0c: d1470713 addi a4,a4,-748 # 3fcdfd14 <ke_task_env>
4000ab10: 97ba add a5,a5,a4
4000ab12: 0007a903 lw s2,0(a5)
4000ab16: fa0902e3 beqz s2,4000aaba <r_ke_state_get+0x32>
4000ab1a: 00895583 lhu a1,8(s2)
4000ab1e: fab4ffe3 bgeu s1,a1,4000aadc <r_ke_state_get+0x54>
4000ab22: 00492783 lw a5,4(s2)
4000ab26: 94be add s1,s1,a5
4000ab28: 0004c503 lbu a0,0(s1)
4000ab2c: 40b2 lw ra,12(sp)
4000ab2e: 4422 lw s0,8(sp)
4000ab30: 4492 lw s1,4(sp)
4000ab32: 4902 lw s2,0(sp)
4000ab34: 0141 addi sp,sp,16
4000ab36: 8082 ret
4000ab38 <r_ke_msg_discard>:
4000ab38: 4501 li a0,0
4000ab3a: 8082 ret
4000ab3c <r_ke_msg_save>:
4000ab3c: 4509 li a0,2
4000ab3e: 8082 ret
4000ab40 <r_ke_task_msg_flush>:
4000ab40: 1101 addi sp,sp,-32
4000ab42: ca26 sw s1,20(sp)
4000ab44: 3fce04b7 lui s1,0x3fce0
4000ab48: cc22 sw s0,24(sp)
4000ab4a: dd04a403 lw s0,-560(s1) # 3fcdfdd0 <ke_env>
4000ab4e: c84a sw s2,16(sp)
4000ab50: c64e sw s3,12(sp)
4000ab52: c452 sw s4,8(sp)
4000ab54: c256 sw s5,4(sp)
4000ab56: ce06 sw ra,28(sp)
4000ab58: c05a sw s6,0(sp)
4000ab5a: 8a2a mv s4,a0
4000ab5c: 4909 li s2,2
4000ab5e: 3fce09b7 lui s3,0x3fce0
4000ab62: 4a85 li s5,1
4000ab64: e819 bnez s0,4000ab7a <r_ke_task_msg_flush+0x3a>
4000ab66: 40f2 lw ra,28(sp)
4000ab68: 4462 lw s0,24(sp)
4000ab6a: 44d2 lw s1,20(sp)
4000ab6c: 4942 lw s2,16(sp)
4000ab6e: 49b2 lw s3,12(sp)
4000ab70: 4a22 lw s4,8(sp)
4000ab72: 4a92 lw s5,4(sp)
4000ab74: 4b02 lw s6,0(sp)
4000ab76: 6105 addi sp,sp,32
4000ab78: 8082 ret
4000ab7a: 00644783 lbu a5,6(s0)
4000ab7e: 00042b03 lw s6,0(s0)
4000ab82: 00fa1f63 bne s4,a5,4000aba0 <r_ke_task_msg_flush+0x60>
4000ab86: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
4000ab8a: 85a2 mv a1,s0
4000ab8c: dd048513 addi a0,s1,-560
4000ab90: 4fdc lw a5,28(a5)
4000ab92: 9782 jalr a5
4000ab94: f889a783 lw a5,-120(s3)
4000ab98: 8522 mv a0,s0
4000ab9a: 0d87a783 lw a5,216(a5)
4000ab9e: 9782 jalr a5
4000aba0: 845a mv s0,s6
4000aba2: fc0b1ce3 bnez s6,4000ab7a <r_ke_task_msg_flush+0x3a>
4000aba6: fd5900e3 beq s2,s5,4000ab66 <r_ke_task_msg_flush+0x26>
4000abaa: dd048793 addi a5,s1,-560
4000abae: 4780 lw s0,8(a5)
4000abb0: 4905 li s2,1
4000abb2: bf4d j 4000ab64 <r_ke_task_msg_flush+0x24>
4000abb4 <r_ke_task_check>:
4000abb4: 0ff57793 andi a5,a0,255
4000abb8: 477d li a4,31
4000abba: 02f76163 bltu a4,a5,4000abdc <r_ke_task_check+0x28>
4000abbe: 00279713 slli a4,a5,0x2
4000abc2: 3fce07b7 lui a5,0x3fce0
4000abc6: d1478793 addi a5,a5,-748 # 3fcdfd14 <ke_task_env>
4000abca: 97ba add a5,a5,a4
4000abcc: 439c lw a5,0(a5)
4000abce: c799 beqz a5,4000abdc <r_ke_task_check+0x28>
4000abd0: 0087d703 lhu a4,8(a5)
4000abd4: 00855793 srli a5,a0,0x8
4000abd8: 00f77463 bgeu a4,a5,4000abe0 <r_ke_task_check+0x2c>
4000abdc: 0ff00513 li a0,255
4000abe0: 8082 ret
4000abe2 <r_ke_time_cmp>:
4000abe2: 8d0d sub a0,a0,a1
4000abe4: 8159 srli a0,a0,0x16
4000abe6: 00154513 xori a0,a0,1
4000abea: 8905 andi a0,a0,1
4000abec: 8082 ret
4000abee <r_ke_time_past>:
4000abee: 3fce07b7 lui a5,0x3fce0
4000abf2: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000abf6: 1101 addi sp,sp,-32
4000abf8: cc22 sw s0,24(sp)
4000abfa: 0a87a403 lw s0,168(a5)
4000abfe: 0a47a783 lw a5,164(a5)
4000ac02: ce06 sw ra,28(sp)
4000ac04: c62a sw a0,12(sp)
4000ac06: 9782 jalr a5
4000ac08: 8322 mv t1,s0
4000ac0a: 4462 lw s0,24(sp)
4000ac0c: 45b2 lw a1,12(sp)
4000ac0e: 40f2 lw ra,28(sp)
4000ac10: 6105 addi sp,sp,32
4000ac12: 8302 jr t1
4000ac14 <r_cmp_abs_time>:
4000ac14: 4508 lw a0,8(a0)
4000ac16: 459c lw a5,8(a1)
4000ac18: 8d1d sub a0,a0,a5
4000ac1a: 8159 srli a0,a0,0x16
4000ac1c: 8905 andi a0,a0,1
4000ac1e: 8082 ret
4000ac20 <r_cmp_timer_id>:
4000ac20: 00455703 lhu a4,4(a0)
4000ac24: 0105d793 srli a5,a1,0x10
4000ac28: 00f71a63 bne a4,a5,4000ac3c <r_cmp_timer_id+0x1c>
4000ac2c: 00655503 lhu a0,6(a0)
4000ac30: 05c2 slli a1,a1,0x10
4000ac32: 81c1 srli a1,a1,0x10
4000ac34: 8d0d sub a0,a0,a1
4000ac36: 00153513 seqz a0,a0
4000ac3a: 8082 ret
4000ac3c: 4501 li a0,0
4000ac3e: 8082 ret
4000ac40 <r_ke_timer_schedule>:
4000ac40: 1101 addi sp,sp,-32
4000ac42: c84a sw s2,16(sp)
4000ac44: 3fce0937 lui s2,0x3fce0
4000ac48: cc22 sw s0,24(sp)
4000ac4a: c64e sw s3,12(sp)
4000ac4c: ce06 sw ra,28(sp)
4000ac4e: ca26 sw s1,20(sp)
4000ac50: 3fce0437 lui s0,0x3fce0
4000ac54: dd090913 addi s2,s2,-560 # 3fcdfdd0 <ke_env>
4000ac58: 3fce09b7 lui s3,0x3fce0
4000ac5c: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000ac60: 4511 li a0,4
4000ac62: 0ec7a783 lw a5,236(a5)
4000ac66: 9782 jalr a5
4000ac68: 01092483 lw s1,16(s2)
4000ac6c: c4ad beqz s1,4000acd6 <r_ke_timer_schedule+0x96>
4000ac6e: f8842783 lw a5,-120(s0)
4000ac72: 4488 lw a0,8(s1)
4000ac74: 0ac7a783 lw a5,172(a5)
4000ac78: 157d addi a0,a0,-1
4000ac7a: 9782 jalr a5
4000ac7c: e505 bnez a0,4000aca4 <r_ke_timer_schedule+0x64>
4000ac7e: f8842783 lw a5,-120(s0)
4000ac82: 4488 lw a0,8(s1)
4000ac84: 2cc7a783 lw a5,716(a5)
4000ac88: 9782 jalr a5
4000ac8a: f8842783 lw a5,-120(s0)
4000ac8e: 4488 lw a0,8(s1)
4000ac90: 0ac7a783 lw a5,172(a5)
4000ac94: 9782 jalr a5
4000ac96: c121 beqz a0,4000acd6 <r_ke_timer_schedule+0x96>
4000ac98: f8842783 lw a5,-120(s0)
4000ac9c: 557d li a0,-1
4000ac9e: 2cc7a783 lw a5,716(a5)
4000aca2: 9782 jalr a5
4000aca4: f8842783 lw a5,-120(s0)
4000aca8: de098513 addi a0,s3,-544 # 3fcdfde0 <ke_env+0x10>
4000acac: 43bc lw a5,64(a5)
4000acae: 9782 jalr a5
4000acb0: f8842783 lw a5,-120(s0)
4000acb4: 84aa mv s1,a0
4000acb6: 00655583 lhu a1,6(a0)
4000acba: 0e47a783 lw a5,228(a5)
4000acbe: 00455503 lhu a0,4(a0)
4000acc2: 0ff00613 li a2,255
4000acc6: 9782 jalr a5
4000acc8: f8842783 lw a5,-120(s0)
4000accc: 8526 mv a0,s1
4000acce: 1107a783 lw a5,272(a5)
4000acd2: 9782 jalr a5
4000acd4: b761 j 4000ac5c <r_ke_timer_schedule+0x1c>
4000acd6: 40f2 lw ra,28(sp)
4000acd8: 4462 lw s0,24(sp)
4000acda: 44d2 lw s1,20(sp)
4000acdc: 4942 lw s2,16(sp)
4000acde: 49b2 lw s3,12(sp)
4000ace0: 6105 addi sp,sp,32
4000ace2: 8082 ret
4000ace4 <r_ke_timer_init>:
4000ace4: 3fce07b7 lui a5,0x3fce0
4000ace8: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000acec: 4511 li a0,4
4000acee: 1007a303 lw t1,256(a5)
4000acf2: 0c07a583 lw a1,192(a5)
4000acf6: 8302 jr t1
4000acf8 <r_ke_time>:
4000acf8: 7179 addi sp,sp,-48
4000acfa: d422 sw s0,40(sp)
4000acfc: 3fce0437 lui s0,0x3fce0
4000ad00: f8442783 lw a5,-124(s0) # 3fcdff84 <r_osi_funcs_p>
4000ad04: d606 sw ra,44(sp)
4000ad06: 4bdc lw a5,20(a5)
4000ad08: 9782 jalr a5
4000ad0a: 3fce07b7 lui a5,0x3fce0
4000ad0e: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000ad12: 2c47a783 lw a5,708(a5)
4000ad16: 9782 jalr a5
4000ad18: f8442783 lw a5,-124(s0)
4000ad1c: cc2a sw a0,24(sp)
4000ad1e: 0512 slli a0,a0,0x4
4000ad20: 4f9c lw a5,24(a5)
4000ad22: 8125 srli a0,a0,0x9
4000ad24: c62a sw a0,12(sp)
4000ad26: ce2e sw a1,28(sp)
4000ad28: 9782 jalr a5
4000ad2a: 50b2 lw ra,44(sp)
4000ad2c: 5422 lw s0,40(sp)
4000ad2e: 4532 lw a0,12(sp)
4000ad30: 6145 addi sp,sp,48
4000ad32: 8082 ret
4000ad34 <r_ke_timer_set>:
4000ad34: 7179 addi sp,sp,-48
4000ad36: cc52 sw s4,24(sp)
4000ad38: 3fce0a37 lui s4,0x3fce0
4000ad3c: dd0a0793 addi a5,s4,-560 # 3fcdfdd0 <ke_env>
4000ad40: d226 sw s1,36(sp)
4000ad42: ca56 sw s5,20(sp)
4000ad44: c85a sw s6,16(sp)
4000ad46: 00400737 lui a4,0x400
4000ad4a: d606 sw ra,44(sp)
4000ad4c: d422 sw s0,40(sp)
4000ad4e: d04a sw s2,32(sp)
4000ad50: ce4e sw s3,28(sp)
4000ad52: c65e sw s7,12(sp)
4000ad54: 8b2a mv s6,a0
4000ad56: 8aae mv s5,a1
4000ad58: 4b9c lw a5,16(a5)
4000ad5a: dd0a0a13 addi s4,s4,-560
4000ad5e: fff70493 addi s1,a4,-1 # 3fffff <RvExcFrameSize+0x3fff6f>
4000ad62: 00e67563 bgeu a2,a4,4000ad6c <r_ke_timer_set+0x38>
4000ad66: 84b2 mv s1,a2
4000ad68: e211 bnez a2,4000ad6c <r_ke_timer_set+0x38>
4000ad6a: 4485 li s1,1
4000ad6c: 4981 li s3,0
4000ad6e: cb99 beqz a5,4000ad84 <r_ke_timer_set+0x50>
4000ad70: 0047d703 lhu a4,4(a5)
4000ad74: 01671863 bne a4,s6,4000ad84 <r_ke_timer_set+0x50>
4000ad78: 0067d983 lhu s3,6(a5)
4000ad7c: 415989b3 sub s3,s3,s5
4000ad80: 0019b993 seqz s3,s3
4000ad84: 3fce0437 lui s0,0x3fce0
4000ad88: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000ad8c: 010b1613 slli a2,s6,0x10
4000ad90: 3fce0bb7 lui s7,0x3fce0
4000ad94: 1587a703 lw a4,344(a5)
4000ad98: 0a07a583 lw a1,160(a5)
4000ad9c: 01566633 or a2,a2,s5
4000ada0: de0b8513 addi a0,s7,-544 # 3fcdfde0 <ke_env+0x10>
4000ada4: 9702 jalr a4
4000ada6: 892a mv s2,a0
4000ada8: e915 bnez a0,4000addc <r_ke_timer_set+0xa8>
4000adaa: f8842783 lw a5,-120(s0)
4000adae: 4589 li a1,2
4000adb0: 4531 li a0,12
4000adb2: 1207a783 lw a5,288(a5)
4000adb6: 9782 jalr a5
4000adb8: 892a mv s2,a0
4000adba: ed09 bnez a0,4000add4 <r_ke_timer_set+0xa0>
4000adbc: 3fce07b7 lui a5,0x3fce0
4000adc0: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000adc4: 3ff1b5b7 lui a1,0x3ff1b
4000adc8: 0fa00613 li a2,250
4000adcc: 479c lw a5,8(a5)
4000adce: 86058593 addi a1,a1,-1952 # 3ff1a860 <cs_ip_funcs_eco+0xa4>
4000add2: 9782 jalr a5
4000add4: 01691223 sh s6,4(s2)
4000add8: 01591323 sh s5,6(s2)
4000addc: f8842783 lw a5,-120(s0)
4000ade0: 0a47a783 lw a5,164(a5)
4000ade4: 9782 jalr a5
4000ade6: 008007b7 lui a5,0x800
4000adea: 94aa add s1,s1,a0
4000adec: 17fd addi a5,a5,-1
4000adee: 8fe5 and a5,a5,s1
4000adf0: 00f92423 sw a5,8(s2)
4000adf4: f8842783 lw a5,-120(s0)
4000adf8: 85ca mv a1,s2
4000adfa: de0b8513 addi a0,s7,-544
4000adfe: 15c7a703 lw a4,348(a5) # 80015c <RvExcFrameSize+0x8000cc>
4000ae02: 09c7a603 lw a2,156(a5)
4000ae06: 9702 jalr a4
4000ae08: 010a2783 lw a5,16(s4)
4000ae0c: 00099463 bnez s3,4000ae14 <r_ke_timer_set+0xe0>
4000ae10: 00f91863 bne s2,a5,4000ae20 <r_ke_timer_set+0xec>
4000ae14: f8842703 lw a4,-120(s0)
4000ae18: 4788 lw a0,8(a5)
4000ae1a: 2cc72703 lw a4,716(a4)
4000ae1e: 9702 jalr a4
4000ae20: f8842783 lw a5,-120(s0)
4000ae24: 8526 mv a0,s1
4000ae26: 0ac7a783 lw a5,172(a5)
4000ae2a: 9782 jalr a5
4000ae2c: c10d beqz a0,4000ae4e <r_ke_timer_set+0x11a>
4000ae2e: f8842783 lw a5,-120(s0)
4000ae32: 5422 lw s0,40(sp)
4000ae34: 50b2 lw ra,44(sp)
4000ae36: 5492 lw s1,36(sp)
4000ae38: 5902 lw s2,32(sp)
4000ae3a: 49f2 lw s3,28(sp)
4000ae3c: 4a62 lw s4,24(sp)
4000ae3e: 4ad2 lw s5,20(sp)
4000ae40: 4b42 lw s6,16(sp)
4000ae42: 4bb2 lw s7,12(sp)
4000ae44: 1087a303 lw t1,264(a5)
4000ae48: 4511 li a0,4
4000ae4a: 6145 addi sp,sp,48
4000ae4c: 8302 jr t1
4000ae4e: 50b2 lw ra,44(sp)
4000ae50: 5422 lw s0,40(sp)
4000ae52: 5492 lw s1,36(sp)
4000ae54: 5902 lw s2,32(sp)
4000ae56: 49f2 lw s3,28(sp)
4000ae58: 4a62 lw s4,24(sp)
4000ae5a: 4ad2 lw s5,20(sp)
4000ae5c: 4b42 lw s6,16(sp)
4000ae5e: 4bb2 lw s7,12(sp)
4000ae60: 6145 addi sp,sp,48
4000ae62: 8082 ret
4000ae64 <r_ke_timer_clear>:
4000ae64: 1141 addi sp,sp,-16
4000ae66: c226 sw s1,4(sp)
4000ae68: 3fce04b7 lui s1,0x3fce0
4000ae6c: dd048493 addi s1,s1,-560 # 3fcdfdd0 <ke_env>
4000ae70: c04a sw s2,0(sp)
4000ae72: 0104a903 lw s2,16(s1)
4000ae76: c606 sw ra,12(sp)
4000ae78: c422 sw s0,8(sp)
4000ae7a: 08090263 beqz s2,4000aefe <r_ke_timer_clear+0x9a>
4000ae7e: 00495683 lhu a3,4(s2)
4000ae82: 3fce0437 lui s0,0x3fce0
4000ae86: f8842783 lw a5,-120(s0) # 3fcdff88 <r_modules_funcs_p>
4000ae8a: 3fce0737 lui a4,0x3fce0
4000ae8e: 04a69c63 bne a3,a0,4000aee6 <r_ke_timer_clear+0x82>
4000ae92: 00695683 lhu a3,6(s2)
4000ae96: 04b69863 bne a3,a1,4000aee6 <r_ke_timer_clear+0x82>
4000ae9a: 43bc lw a5,64(a5)
4000ae9c: de070513 addi a0,a4,-544 # 3fcdfde0 <ke_env+0x10>
4000aea0: 9782 jalr a5
4000aea2: f8842783 lw a5,-120(s0)
4000aea6: 4884 lw s1,16(s1)
4000aea8: 557d li a0,-1
4000aeaa: 2cc7a783 lw a5,716(a5)
4000aeae: c091 beqz s1,4000aeb2 <r_ke_timer_clear+0x4e>
4000aeb0: 4488 lw a0,8(s1)
4000aeb2: 9782 jalr a5
4000aeb4: cc91 beqz s1,4000aed0 <r_ke_timer_clear+0x6c>
4000aeb6: f8842783 lw a5,-120(s0)
4000aeba: 4488 lw a0,8(s1)
4000aebc: 0ac7a783 lw a5,172(a5)
4000aec0: 9782 jalr a5
4000aec2: c519 beqz a0,4000aed0 <r_ke_timer_clear+0x6c>
4000aec4: f8842783 lw a5,-120(s0)
4000aec8: 4511 li a0,4
4000aeca: 1087a783 lw a5,264(a5)
4000aece: 9782 jalr a5
4000aed0: f8842783 lw a5,-120(s0)
4000aed4: 4422 lw s0,8(sp)
4000aed6: 40b2 lw ra,12(sp)
4000aed8: 4492 lw s1,4(sp)
4000aeda: 1107a303 lw t1,272(a5)
4000aede: 854a mv a0,s2
4000aee0: 4902 lw s2,0(sp)
4000aee2: 0141 addi sp,sp,16
4000aee4: 8302 jr t1
4000aee6: 01051613 slli a2,a0,0x10
4000aeea: 8e4d or a2,a2,a1
4000aeec: 1587a683 lw a3,344(a5)
4000aef0: 0a07a583 lw a1,160(a5)
4000aef4: de070513 addi a0,a4,-544
4000aef8: 9682 jalr a3
4000aefa: 892a mv s2,a0
4000aefc: f971 bnez a0,4000aed0 <r_ke_timer_clear+0x6c>
4000aefe: 40b2 lw ra,12(sp)
4000af00: 4422 lw s0,8(sp)
4000af02: 4492 lw s1,4(sp)
4000af04: 4902 lw s2,0(sp)
4000af06: 0141 addi sp,sp,16
4000af08: 8082 ret
4000af0a <r_ke_timer_active>:
4000af0a: 3fce07b7 lui a5,0x3fce0
4000af0e: de07a783 lw a5,-544(a5) # 3fcdfde0 <ke_env+0x10>
4000af12: e399 bnez a5,4000af18 <r_ke_timer_active+0xe>
4000af14: 4501 li a0,0
4000af16: 8082 ret
4000af18: 0047d703 lhu a4,4(a5)
4000af1c: 00a71663 bne a4,a0,4000af28 <r_ke_timer_active+0x1e>
4000af20: 0067d703 lhu a4,6(a5)
4000af24: 00b70463 beq a4,a1,4000af2c <r_ke_timer_active+0x22>
4000af28: 439c lw a5,0(a5)
4000af2a: b7e5 j 4000af12 <r_ke_timer_active+0x8>
4000af2c: 4505 li a0,1
4000af2e: 8082 ret
4000af30 <r_ke_timer_adjust_all>:
4000af30: 3fce07b7 lui a5,0x3fce0
4000af34: de07a783 lw a5,-544(a5) # 3fcdfde0 <ke_env+0x10>
4000af38: e391 bnez a5,4000af3c <r_ke_timer_adjust_all+0xc>
4000af3a: 8082 ret
4000af3c: 4798 lw a4,8(a5)
4000af3e: 972a add a4,a4,a0
4000af40: c798 sw a4,8(a5)
4000af42: 439c lw a5,0(a5)
4000af44: bfd5 j 4000af38 <r_ke_timer_adjust_all+0x8>
4000af46 <r_led_init>:
4000af46: 8082 ret
4000af48 <r_led_set_all>:
4000af48: 8082 ret
4000af4a <r_llc_rem_ch_map_proc_continue>:
4000af4a: 3fce0737 lui a4,0x3fce0
4000af4e: 1101 addi sp,sp,-32
4000af50: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000af54: 00251793 slli a5,a0,0x2
4000af58: 97ba add a5,a5,a4
4000af5a: cc22 sw s0,24(sp)
4000af5c: 3fce0437 lui s0,0x3fce0
4000af60: c64e sw s3,12(sp)
4000af62: 0007a983 lw s3,0(a5)
4000af66: f8c42783 lw a5,-116(s0) # 3fcdff8c <r_ip_funcs_p>
4000af6a: ce06 sw ra,28(sp)
4000af6c: ca26 sw s1,20(sp)
4000af6e: 6747a783 lw a5,1652(a5)
4000af72: c84a sw s2,16(sp)
4000af74: c452 sw s4,8(sp)
4000af76: c256 sw s5,4(sp)
4000af78: 8aae mv s5,a1
4000af7a: 4585 li a1,1
4000af7c: 892a mv s2,a0
4000af7e: 9782 jalr a5
4000af80: f8c42783 lw a5,-116(s0)
4000af84: 84aa mv s1,a0
4000af86: 8a22 mv s4,s0
4000af88: 6807a783 lw a5,1664(a5)
4000af8c: 9782 jalr a5
4000af8e: 0b551463 bne a0,s5,4000b036 <r_llc_rem_ch_map_proc_continue+0xec>
4000af92: f8c42783 lw a5,-116(s0)
4000af96: 8526 mv a0,s1
4000af98: 6807a783 lw a5,1664(a5)
4000af9c: 9782 jalr a5
4000af9e: 478d li a5,3
4000afa0: 04f50363 beq a0,a5,4000afe6 <r_llc_rem_ch_map_proc_continue+0x9c>
4000afa4: 4791 li a5,4
4000afa6: 08f50163 beq a0,a5,4000b028 <r_llc_rem_ch_map_proc_continue+0xde>
4000afaa: 3fce07b7 lui a5,0x3fce0
4000afae: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000afb2: 8526 mv a0,s1
4000afb4: 00c7a983 lw s3,12(a5)
4000afb8: f8c42783 lw a5,-116(s0)
4000afbc: 6807a783 lw a5,1664(a5)
4000afc0: 9782 jalr a5
4000afc2: 4462 lw s0,24(sp)
4000afc4: 40f2 lw ra,28(sp)
4000afc6: 44d2 lw s1,20(sp)
4000afc8: 4a22 lw s4,8(sp)
4000afca: 4a92 lw s5,4(sp)
4000afcc: 85aa mv a1,a0
4000afce: 834e mv t1,s3
4000afd0: 854a mv a0,s2
4000afd2: 49b2 lw s3,12(sp)
4000afd4: 4942 lw s2,16(sp)
4000afd6: 3ff1b637 lui a2,0x3ff1b
4000afda: 12500693 li a3,293
4000afde: 86c60613 addi a2,a2,-1940 # 3ff1a86c <cs_ip_funcs_eco+0xb0>
4000afe2: 6105 addi sp,sp,32
4000afe4: 8302 jr t1
4000afe6: 0429d783 lhu a5,66(s3)
4000afea: 00e4d603 lhu a2,14(s1)
4000afee: 00848593 addi a1,s1,8
4000aff2: 0107e793 ori a5,a5,16
4000aff6: 04f99123 sh a5,66(s3)
4000affa: f8c42783 lw a5,-116(s0)
4000affe: 854a mv a0,s2
4000b000: 31c7a783 lw a5,796(a5)
4000b004: 9782 jalr a5
4000b006: e905 bnez a0,4000b036 <r_llc_rem_ch_map_proc_continue+0xec>
4000b008: f8c42783 lw a5,-116(s0)
4000b00c: 4462 lw s0,24(sp)
4000b00e: 40f2 lw ra,28(sp)
4000b010: 49b2 lw s3,12(sp)
4000b012: 4a22 lw s4,8(sp)
4000b014: 4a92 lw s5,4(sp)
4000b016: 6847a303 lw t1,1668(a5)
4000b01a: 85ca mv a1,s2
4000b01c: 8526 mv a0,s1
4000b01e: 4942 lw s2,16(sp)
4000b020: 44d2 lw s1,20(sp)
4000b022: 4611 li a2,4
4000b024: 6105 addi sp,sp,32
4000b026: 8302 jr t1
4000b028: 4615 li a2,5
4000b02a: 00848593 addi a1,s1,8
4000b02e: 00898513 addi a0,s3,8
4000b032: 7884d0ef jal ra,400587ba <memcpy>
4000b036: 0429d783 lhu a5,66(s3)
4000b03a: 4462 lw s0,24(sp)
4000b03c: 40f2 lw ra,28(sp)
4000b03e: 9bbd andi a5,a5,-17
4000b040: 04f99123 sh a5,66(s3)
4000b044: f8ca2783 lw a5,-116(s4)
4000b048: 44d2 lw s1,20(sp)
4000b04a: 49b2 lw s3,12(sp)
4000b04c: 4a22 lw s4,8(sp)
4000b04e: 4a92 lw s5,4(sp)
4000b050: 6907a303 lw t1,1680(a5)
4000b054: 854a mv a0,s2
4000b056: 4942 lw s2,16(sp)
4000b058: 4585 li a1,1
4000b05a: 6105 addi sp,sp,32
4000b05c: 8302 jr t1
4000b05e <llc_llcp_ch_map_update_ind_pdu_send>:
4000b05e: 1101 addi sp,sp,-32
4000b060: 87b2 mv a5,a2
4000b062: cc22 sw s0,24(sp)
4000b064: 4705 li a4,1
4000b066: 842a mv s0,a0
4000b068: 00b11723 sh a1,14(sp)
4000b06c: 4615 li a2,5
4000b06e: 85be mv a1,a5
4000b070: 00910513 addi a0,sp,9
4000b074: ce06 sw ra,28(sp)
4000b076: 00e10423 sb a4,8(sp)
4000b07a: 7404d0ef jal ra,400587ba <memcpy>
4000b07e: 3fce07b7 lui a5,0x3fce0
4000b082: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000b086: 002c addi a1,sp,8
4000b088: 8522 mv a0,s0
4000b08a: 5b47a783 lw a5,1460(a5)
4000b08e: 4601 li a2,0
4000b090: 9782 jalr a5
4000b092: 40f2 lw ra,28(sp)
4000b094: 4462 lw s0,24(sp)
4000b096: 6105 addi sp,sp,32
4000b098: 8082 ret
4000b09a <r_llc_loc_ch_map_proc_continue>:
4000b09a: 3fce0737 lui a4,0x3fce0
4000b09e: 7179 addi sp,sp,-48
4000b0a0: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000b0a4: 00251793 slli a5,a0,0x2
4000b0a8: 97ba add a5,a5,a4
4000b0aa: d226 sw s1,36(sp)
4000b0ac: 3fce04b7 lui s1,0x3fce0
4000b0b0: d422 sw s0,40(sp)
4000b0b2: 4380 lw s0,0(a5)
4000b0b4: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
4000b0b8: d606 sw ra,44(sp)
4000b0ba: d04a sw s2,32(sp)
4000b0bc: 6747a783 lw a5,1652(a5)
4000b0c0: ce4e sw s3,28(sp)
4000b0c2: cc52 sw s4,24(sp)
4000b0c4: ca56 sw s5,20(sp)
4000b0c6: 8aae mv s5,a1
4000b0c8: 4581 li a1,0
4000b0ca: 892a mv s2,a0
4000b0cc: 9782 jalr a5
4000b0ce: f8c4a783 lw a5,-116(s1)
4000b0d2: 89aa mv s3,a0
4000b0d4: 8a26 mv s4,s1
4000b0d6: 6807a783 lw a5,1664(a5)
4000b0da: 9782 jalr a5
4000b0dc: 07551d63 bne a0,s5,4000b156 <r_llc_loc_ch_map_proc_continue+0xbc>
4000b0e0: f8c4a783 lw a5,-116(s1)
4000b0e4: 854e mv a0,s3
4000b0e6: 6807a783 lw a5,1664(a5)
4000b0ea: 9782 jalr a5
4000b0ec: c90d beqz a0,4000b11e <r_llc_loc_ch_map_proc_continue+0x84>
4000b0ee: 4785 li a5,1
4000b0f0: 14f50263 beq a0,a5,4000b234 <r_llc_loc_ch_map_proc_continue+0x19a>
4000b0f4: 3fce07b7 lui a5,0x3fce0
4000b0f8: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b0fc: 854e mv a0,s3
4000b0fe: 47c0 lw s0,12(a5)
4000b100: f8c4a783 lw a5,-116(s1)
4000b104: 6807a783 lw a5,1664(a5)
4000b108: 9782 jalr a5
4000b10a: 3ff1b637 lui a2,0x3ff1b
4000b10e: 85aa mv a1,a0
4000b110: 0da00693 li a3,218
4000b114: 86c60613 addi a2,a2,-1940 # 3ff1a86c <cs_ip_funcs_eco+0xb0>
4000b118: 854a mv a0,s2
4000b11a: 9402 jalr s0
4000b11c: a219 j 4000b222 <r_llc_loc_ch_map_proc_continue+0x188>
4000b11e: f8c4a783 lw a5,-116(s1)
4000b122: 4ec7a783 lw a5,1260(a5)
4000b126: 9782 jalr a5
4000b128: 85aa mv a1,a0
4000b12a: 4615 li a2,5
4000b12c: 0028 addi a0,sp,8
4000b12e: 68c4d0ef jal ra,400587ba <memcpy>
4000b132: 3fce07b7 lui a5,0x3fce0
4000b136: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000b13a: 0028 addi a0,sp,8
4000b13c: 47dc lw a5,12(a5)
4000b13e: 9782 jalr a5
4000b140: 01f44583 lbu a1,31(s0)
4000b144: 02b56c63 bltu a0,a1,4000b17c <r_llc_loc_ch_map_proc_continue+0xe2>
4000b148: 4615 li a2,5
4000b14a: 002c addi a1,sp,8
4000b14c: 00840513 addi a0,s0,8
4000b150: 6224d0ef jal ra,40058772 <memcmp>
4000b154: e13d bnez a0,4000b1ba <r_llc_loc_ch_map_proc_continue+0x120>
4000b156: 04245783 lhu a5,66(s0)
4000b15a: 4581 li a1,0
4000b15c: 854a mv a0,s2
4000b15e: 9bbd andi a5,a5,-17
4000b160: 04f41123 sh a5,66(s0)
4000b164: f8ca2783 lw a5,-116(s4)
4000b168: 6907a783 lw a5,1680(a5)
4000b16c: 9782 jalr a5
4000b16e: 04245783 lhu a5,66(s0)
4000b172: eff7f793 andi a5,a5,-257
4000b176: 04f41123 sh a5,66(s0)
4000b17a: a065 j 4000b222 <r_llc_loc_ch_map_proc_continue+0x188>
4000b17c: 4701 li a4,0
4000b17e: 4305 li t1,1
4000b180: 02500893 li a7,37
4000b184: 081c addi a5,sp,16
4000b186: 40375693 srai a3,a4,0x3
4000b18a: 96be add a3,a3,a5
4000b18c: ff86c803 lbu a6,-8(a3)
4000b190: 00777793 andi a5,a4,7
4000b194: 40f85633 sra a2,a6,a5
4000b198: 8a05 andi a2,a2,1
4000b19a: ee01 bnez a2,4000b1b2 <r_llc_loc_ch_map_proc_continue+0x118>
4000b19c: 00f317b3 sll a5,t1,a5
4000b1a0: 0107e7b3 or a5,a5,a6
4000b1a4: 0505 addi a0,a0,1
4000b1a6: fef68c23 sb a5,-8(a3)
4000b1aa: 0ff57513 andi a0,a0,255
4000b1ae: f8b57de3 bgeu a0,a1,4000b148 <r_llc_loc_ch_map_proc_continue+0xae>
4000b1b2: 0705 addi a4,a4,1
4000b1b4: fd1718e3 bne a4,a7,4000b184 <r_llc_loc_ch_map_proc_continue+0xea>
4000b1b8: bf41 j 4000b148 <r_llc_loc_ch_map_proc_continue+0xae>
4000b1ba: 04245783 lhu a5,66(s0)
4000b1be: f8ca2703 lw a4,-116(s4)
4000b1c2: 854a mv a0,s2
4000b1c4: 0107e793 ori a5,a5,16
4000b1c8: 04f41123 sh a5,66(s0)
4000b1cc: 33872783 lw a5,824(a4)
4000b1d0: 00898a93 addi s5,s3,8
4000b1d4: 9782 jalr a5
4000b1d6: 01045483 lhu s1,16(s0)
4000b1da: 47a5 li a5,9
4000b1dc: 4615 li a2,5
4000b1de: 0485 addi s1,s1,1
4000b1e0: 02f484b3 mul s1,s1,a5
4000b1e4: 002c addi a1,sp,8
4000b1e6: 94aa add s1,s1,a0
4000b1e8: 04c2 slli s1,s1,0x10
4000b1ea: 80c1 srli s1,s1,0x10
4000b1ec: 00999723 sh s1,14(s3)
4000b1f0: 8556 mv a0,s5
4000b1f2: 5c84d0ef jal ra,400587ba <memcpy>
4000b1f6: f8ca2783 lw a5,-116(s4)
4000b1fa: 8626 mv a2,s1
4000b1fc: 85d6 mv a1,s5
4000b1fe: 31c7a783 lw a5,796(a5)
4000b202: 854a mv a0,s2
4000b204: 9782 jalr a5
4000b206: f921 bnez a0,4000b156 <r_llc_loc_ch_map_proc_continue+0xbc>
4000b208: 00e9d583 lhu a1,14(s3)
4000b20c: 8656 mv a2,s5
4000b20e: 854a mv a0,s2
4000b210: 35b9 jal 4000b05e <llc_llcp_ch_map_update_ind_pdu_send>
4000b212: f8ca2783 lw a5,-116(s4)
4000b216: 4605 li a2,1
4000b218: 85ca mv a1,s2
4000b21a: 6847a783 lw a5,1668(a5)
4000b21e: 854e mv a0,s3
4000b220: 9782 jalr a5
4000b222: 50b2 lw ra,44(sp)
4000b224: 5422 lw s0,40(sp)
4000b226: 5492 lw s1,36(sp)
4000b228: 5902 lw s2,32(sp)
4000b22a: 49f2 lw s3,28(sp)
4000b22c: 4a62 lw s4,24(sp)
4000b22e: 4ad2 lw s5,20(sp)
4000b230: 6145 addi sp,sp,48
4000b232: 8082 ret
4000b234: 4615 li a2,5
4000b236: 00898593 addi a1,s3,8
4000b23a: 00840513 addi a0,s0,8
4000b23e: 57c4d0ef jal ra,400587ba <memcpy>
4000b242: bf11 j 4000b156 <r_llc_loc_ch_map_proc_continue+0xbc>
4000b244 <r_llc_ch_map_up_proc_err_cb>:
4000b244: c589 beqz a1,4000b24e <r_llc_ch_map_up_proc_err_cb+0xa>
4000b246: 470d li a4,3
4000b248: 06b76463 bltu a4,a1,4000b2b0 <r_llc_ch_map_up_proc_err_cb+0x6c>
4000b24c: 8082 ret
4000b24e: 1101 addi sp,sp,-32
4000b250: ca26 sw s1,20(sp)
4000b252: 3fce04b7 lui s1,0x3fce0
4000b256: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
4000b25a: cc22 sw s0,24(sp)
4000b25c: c84a sw s2,16(sp)
4000b25e: ce06 sw ra,28(sp)
4000b260: 00064603 lbu a2,0(a2)
4000b264: 6787a783 lw a5,1656(a5)
4000b268: 842a mv s0,a0
4000b26a: c632 sw a2,12(sp)
4000b26c: 4919 li s2,6
4000b26e: 9782 jalr a5
4000b270: 4632 lw a2,12(sp)
4000b272: 01251e63 bne a0,s2,4000b28e <r_llc_ch_map_up_proc_err_cb+0x4a>
4000b276: f8c4a783 lw a5,-116(s1)
4000b27a: 4589 li a1,2
4000b27c: 61c7a303 lw t1,1564(a5)
4000b280: 8522 mv a0,s0
4000b282: 4462 lw s0,24(sp)
4000b284: 40f2 lw ra,28(sp)
4000b286: 44d2 lw s1,20(sp)
4000b288: 4942 lw s2,16(sp)
4000b28a: 6105 addi sp,sp,32
4000b28c: 8302 jr t1
4000b28e: f8c4a783 lw a5,-116(s1)
4000b292: 4585 li a1,1
4000b294: 8522 mv a0,s0
4000b296: 6787a783 lw a5,1656(a5)
4000b29a: c632 sw a2,12(sp)
4000b29c: 9782 jalr a5
4000b29e: 4632 lw a2,12(sp)
4000b2a0: 03251563 bne a0,s2,4000b2ca <r_llc_ch_map_up_proc_err_cb+0x86>
4000b2a4: f8c4a783 lw a5,-116(s1)
4000b2a8: 4595 li a1,5
4000b2aa: 6207a303 lw t1,1568(a5)
4000b2ae: bfc9 j 4000b280 <r_llc_ch_map_up_proc_err_cb+0x3c>
4000b2b0: 3fce07b7 lui a5,0x3fce0
4000b2b4: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b2b8: 3ff1b637 lui a2,0x3ff1b
4000b2bc: 16e00693 li a3,366
4000b2c0: 00c7a303 lw t1,12(a5)
4000b2c4: 86c60613 addi a2,a2,-1940 # 3ff1a86c <cs_ip_funcs_eco+0xb0>
4000b2c8: 8302 jr t1
4000b2ca: 40f2 lw ra,28(sp)
4000b2cc: 4462 lw s0,24(sp)
4000b2ce: 44d2 lw s1,20(sp)
4000b2d0: 4942 lw s2,16(sp)
4000b2d2: 6105 addi sp,sp,32
4000b2d4: 8082 ret
4000b2d6 <ll_channel_map_ind_handler>:
4000b2d6: 3fce0737 lui a4,0x3fce0
4000b2da: 00251793 slli a5,a0,0x2
4000b2de: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000b2e2: 97ba add a5,a5,a4
4000b2e4: 439c lw a5,0(a5)
4000b2e6: 1101 addi sp,sp,-32
4000b2e8: ce06 sw ra,28(sp)
4000b2ea: 0427d783 lhu a5,66(a5)
4000b2ee: cc22 sw s0,24(sp)
4000b2f0: ca26 sw s1,20(sp)
4000b2f2: c84a sw s2,16(sp)
4000b2f4: c64e sw s3,12(sp)
4000b2f6: c452 sw s4,8(sp)
4000b2f8: c256 sw s5,4(sp)
4000b2fa: c05a sw s6,0(sp)
4000b2fc: 8b85 andi a5,a5,1
4000b2fe: cf91 beqz a5,4000b31a <ll_channel_map_ind_handler+0x44>
4000b300: 02400913 li s2,36
4000b304: 40f2 lw ra,28(sp)
4000b306: 4462 lw s0,24(sp)
4000b308: 854a mv a0,s2
4000b30a: 44d2 lw s1,20(sp)
4000b30c: 4942 lw s2,16(sp)
4000b30e: 49b2 lw s3,12(sp)
4000b310: 4a22 lw s4,8(sp)
4000b312: 4a92 lw s5,4(sp)
4000b314: 4b02 lw s6,0(sp)
4000b316: 6105 addi sp,sp,32
4000b318: 8082 ret
4000b31a: 3fce0a37 lui s4,0x3fce0
4000b31e: f8ca2783 lw a5,-116(s4) # 3fcdff8c <r_ip_funcs_p>
4000b322: 89ae mv s3,a1
4000b324: 4585 li a1,1
4000b326: 6787a783 lw a5,1656(a5)
4000b32a: 842a mv s0,a0
4000b32c: 84b2 mv s1,a2
4000b32e: 9782 jalr a5
4000b330: 892a mv s2,a0
4000b332: f579 bnez a0,4000b300 <ll_channel_map_ind_handler+0x2a>
4000b334: 0069d603 lhu a2,6(s3)
4000b338: 67a1 lui a5,0x8
4000b33a: 17f9 addi a5,a5,-2
4000b33c: 8c91 sub s1,s1,a2
4000b33e: 04c2 slli s1,s1,0x10
4000b340: 80c1 srli s1,s1,0x10
4000b342: 0897f963 bgeu a5,s1,4000b3d4 <ll_channel_map_ind_handler+0xfe>
4000b346: 3fce04b7 lui s1,0x3fce0
4000b34a: f884a783 lw a5,-120(s1) # 3fcdff88 <r_modules_funcs_p>
4000b34e: 00198b13 addi s6,s3,1
4000b352: 855a mv a0,s6
4000b354: 47dc lw a5,12(a5)
4000b356: 9782 jalr a5
4000b358: 4785 li a5,1
4000b35a: 08a7f063 bgeu a5,a0,4000b3da <ll_channel_map_ind_handler+0x104>
4000b35e: 0059c783 lbu a5,5(s3)
4000b362: 0e07f793 andi a5,a5,224
4000b366: ebb5 bnez a5,4000b3da <ll_channel_map_ind_handler+0x104>
4000b368: f884a783 lw a5,-120(s1)
4000b36c: 00841613 slli a2,s0,0x8
4000b370: 00166613 ori a2,a2,1
4000b374: 0c87a783 lw a5,200(a5) # 80c8 <RvExcFrameSize+0x8038>
4000b378: 46c1 li a3,16
4000b37a: 85b2 mv a1,a2
4000b37c: 10d00513 li a0,269
4000b380: 9782 jalr a5
4000b382: 4799 li a5,6
4000b384: 00f50223 sb a5,4(a0)
4000b388: f8ca2783 lw a5,-116(s4)
4000b38c: 85a2 mv a1,s0
4000b38e: 460d li a2,3
4000b390: 6847a783 lw a5,1668(a5)
4000b394: 84aa mv s1,a0
4000b396: 9782 jalr a5
4000b398: f8ca2a83 lw s5,-116(s4)
4000b39c: 85da mv a1,s6
4000b39e: 4615 li a2,5
4000b3a0: 618aa783 lw a5,1560(s5)
4000b3a4: 00848513 addi a0,s1,8
4000b3a8: c09c sw a5,0(s1)
4000b3aa: 4104d0ef jal ra,400587ba <memcpy>
4000b3ae: 0069d783 lhu a5,6(s3)
4000b3b2: 8626 mv a2,s1
4000b3b4: 8522 mv a0,s0
4000b3b6: 00f49723 sh a5,14(s1)
4000b3ba: 67caa783 lw a5,1660(s5)
4000b3be: 4585 li a1,1
4000b3c0: 9782 jalr a5
4000b3c2: f8ca2783 lw a5,-116(s4)
4000b3c6: 4601 li a2,0
4000b3c8: 458d li a1,3
4000b3ca: 6207a783 lw a5,1568(a5)
4000b3ce: 8522 mv a0,s0
4000b3d0: 9782 jalr a5
4000b3d2: bf0d j 4000b304 <ll_channel_map_ind_handler+0x2e>
4000b3d4: 02800913 li s2,40
4000b3d8: b735 j 4000b304 <ll_channel_map_ind_handler+0x2e>
4000b3da: 02000913 li s2,32
4000b3de: b71d j 4000b304 <ll_channel_map_ind_handler+0x2e>
4000b3e0 <ll_min_used_channels_ind_handler>:
4000b3e0: 3fce0737 lui a4,0x3fce0
4000b3e4: 00251793 slli a5,a0,0x2
4000b3e8: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000b3ec: 1101 addi sp,sp,-32
4000b3ee: 97ba add a5,a5,a4
4000b3f0: c84a sw s2,16(sp)
4000b3f2: 0007a903 lw s2,0(a5)
4000b3f6: cc22 sw s0,24(sp)
4000b3f8: ce06 sw ra,28(sp)
4000b3fa: 04295783 lhu a5,66(s2)
4000b3fe: ca26 sw s1,20(sp)
4000b400: c64e sw s3,12(sp)
4000b402: c452 sw s4,8(sp)
4000b404: 8b85 andi a5,a5,1
4000b406: 02400413 li s0,36
4000b40a: cfc1 beqz a5,4000b4a2 <ll_min_used_channels_ind_handler+0xc2>
4000b40c: 0015c783 lbu a5,1(a1)
4000b410: 03000413 li s0,48
4000b414: 8b9d andi a5,a5,7
4000b416: c7d1 beqz a5,4000b4a2 <ll_min_used_channels_ind_handler+0xc2>
4000b418: 0025c783 lbu a5,2(a1)
4000b41c: 02300713 li a4,35
4000b420: 17f9 addi a5,a5,-2
4000b422: 0ff7f793 andi a5,a5,255
4000b426: 06f76e63 bltu a4,a5,4000b4a2 <ll_min_used_channels_ind_handler+0xc2>
4000b42a: 3fce09b7 lui s3,0x3fce0
4000b42e: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
4000b432: 84aa mv s1,a0
4000b434: 00890513 addi a0,s2,8
4000b438: 47dc lw a5,12(a5)
4000b43a: 842e mv s0,a1
4000b43c: 9782 jalr a5
4000b43e: 00144783 lbu a5,1(s0)
4000b442: 8b9d andi a5,a5,7
4000b444: 00f90f23 sb a5,30(s2)
4000b448: 00244783 lbu a5,2(s0)
4000b44c: 4401 li s0,0
4000b44e: 00f90fa3 sb a5,31(s2)
4000b452: 04f57863 bgeu a0,a5,4000b4a2 <ll_min_used_channels_ind_handler+0xc2>
4000b456: f889a783 lw a5,-120(s3)
4000b45a: 00849613 slli a2,s1,0x8
4000b45e: 00166613 ori a2,a2,1
4000b462: 0c87a783 lw a5,200(a5)
4000b466: 85b2 mv a1,a2
4000b468: 46c1 li a3,16
4000b46a: 10d00513 li a0,269
4000b46e: 9782 jalr a5
4000b470: 4799 li a5,6
4000b472: 3fce0a37 lui s4,0x3fce0
4000b476: 00f50223 sb a5,4(a0)
4000b47a: f8ca2783 lw a5,-116(s4) # 3fcdff8c <r_ip_funcs_p>
4000b47e: 4601 li a2,0
4000b480: 85a6 mv a1,s1
4000b482: 6847a783 lw a5,1668(a5)
4000b486: 892a mv s2,a0
4000b488: 9782 jalr a5
4000b48a: f8ca2783 lw a5,-116(s4)
4000b48e: 854a mv a0,s2
4000b490: 6187a783 lw a5,1560(a5)
4000b494: 00f92023 sw a5,0(s2)
4000b498: f889a783 lw a5,-120(s3)
4000b49c: 0e07a783 lw a5,224(a5)
4000b4a0: 9782 jalr a5
4000b4a2: 8522 mv a0,s0
4000b4a4: 40f2 lw ra,28(sp)
4000b4a6: 4462 lw s0,24(sp)
4000b4a8: 44d2 lw s1,20(sp)
4000b4aa: 4942 lw s2,16(sp)
4000b4ac: 49b2 lw s3,12(sp)
4000b4ae: 4a22 lw s4,8(sp)
4000b4b0: 6105 addi sp,sp,32
4000b4b2: 8082 ret
4000b4b4 <hci_le_rd_chnl_map_cmd_handler>:
4000b4b4: 3fce07b7 lui a5,0x3fce0
4000b4b8: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000b4bc: 1141 addi sp,sp,-16
4000b4be: c04a sw s2,0(sp)
4000b4c0: 0c87a783 lw a5,200(a5)
4000b4c4: 892e mv s2,a1
4000b4c6: 0005d583 lhu a1,0(a1)
4000b4ca: c422 sw s0,8(sp)
4000b4cc: 6609 lui a2,0x2
4000b4ce: 842a mv s0,a0
4000b4d0: 6505 lui a0,0x1
4000b4d2: c606 sw ra,12(sp)
4000b4d4: c226 sw s1,4(sp)
4000b4d6: 46a9 li a3,10
4000b4d8: 0655 addi a2,a2,21
4000b4da: 10150513 addi a0,a0,257 # 1101 <RvExcFrameSize+0x1071>
4000b4de: 9782 jalr a5
4000b4e0: 3fce07b7 lui a5,0x3fce0
4000b4e4: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b4e8: 84aa mv s1,a0
4000b4ea: 5f9c lw a5,56(a5)
4000b4ec: 9782 jalr a5
4000b4ee: 00d54783 lbu a5,13(a0)
4000b4f2: 4731 li a4,12
4000b4f4: 02f47763 bgeu s0,a5,4000b522 <hci_le_rd_chnl_map_cmd_handler+0x6e>
4000b4f8: 3fce07b7 lui a5,0x3fce0
4000b4fc: 040a slli s0,s0,0x2
4000b4fe: cec78793 addi a5,a5,-788 # 3fcdfcec <llc_env>
4000b502: 943e add s0,s0,a5
4000b504: 400c lw a1,0(s0)
4000b506: cd91 beqz a1,4000b522 <hci_le_rd_chnl_map_cmd_handler+0x6e>
4000b508: 0445c783 lbu a5,68(a1)
4000b50c: 468d li a3,3
4000b50e: 8b8d andi a5,a5,3
4000b510: 00d78963 beq a5,a3,4000b522 <hci_le_rd_chnl_map_cmd_handler+0x6e>
4000b514: 4615 li a2,5
4000b516: 05a1 addi a1,a1,8
4000b518: 00448513 addi a0,s1,4
4000b51c: 29e4d0ef jal ra,400587ba <memcpy>
4000b520: 4701 li a4,0
4000b522: 00e48023 sb a4,0(s1)
4000b526: 00095783 lhu a5,0(s2)
4000b52a: 8526 mv a0,s1
4000b52c: 00f49123 sh a5,2(s1)
4000b530: 3fce07b7 lui a5,0x3fce0
4000b534: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000b538: 08c7a783 lw a5,140(a5)
4000b53c: 9782 jalr a5
4000b53e: 40b2 lw ra,12(sp)
4000b540: 4422 lw s0,8(sp)
4000b542: 4492 lw s1,4(sp)
4000b544: 4902 lw s2,0(sp)
4000b546: 4501 li a0,0
4000b548: 0141 addi sp,sp,16
4000b54a: 8082 ret
4000b54c <llc_op_ch_map_upd_ind_handler>:
4000b54c: 3fce07b7 lui a5,0x3fce0
4000b550: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b554: 1101 addi sp,sp,-32
4000b556: cc22 sw s0,24(sp)
4000b558: 8221 srli a2,a2,0x8
4000b55a: 5f9c lw a5,56(a5)
4000b55c: 3fce0437 lui s0,0x3fce0
4000b560: ca26 sw s1,20(sp)
4000b562: cec40413 addi s0,s0,-788 # 3fcdfcec <llc_env>
4000b566: 0ff67493 andi s1,a2,255
4000b56a: 060a slli a2,a2,0x2
4000b56c: c84a sw s2,16(sp)
4000b56e: c64e sw s3,12(sp)
4000b570: 9432 add s0,s0,a2
4000b572: ce06 sw ra,28(sp)
4000b574: 892e mv s2,a1
4000b576: 00042983 lw s3,0(s0)
4000b57a: 9782 jalr a5
4000b57c: 00d54703 lbu a4,13(a0)
4000b580: 4781 li a5,0
4000b582: 04e4fa63 bgeu s1,a4,4000b5d6 <llc_op_ch_map_upd_ind_handler+0x8a>
4000b586: 4018 lw a4,0(s0)
4000b588: c739 beqz a4,4000b5d6 <llc_op_ch_map_upd_ind_handler+0x8a>
4000b58a: 04474703 lbu a4,68(a4)
4000b58e: 468d li a3,3
4000b590: 8b0d andi a4,a4,3
4000b592: 04d70263 beq a4,a3,4000b5d6 <llc_op_ch_map_upd_ind_handler+0x8a>
4000b596: 3fce0437 lui s0,0x3fce0
4000b59a: f8c42783 lw a5,-116(s0) # 3fcdff8c <r_ip_funcs_p>
4000b59e: 4581 li a1,0
4000b5a0: 8526 mv a0,s1
4000b5a2: 6787a783 lw a5,1656(a5)
4000b5a6: 9782 jalr a5
4000b5a8: 4789 li a5,2
4000b5aa: e515 bnez a0,4000b5d6 <llc_op_ch_map_upd_ind_handler+0x8a>
4000b5ac: 0429d703 lhu a4,66(s3)
4000b5b0: 8b41 andi a4,a4,16
4000b5b2: e315 bnez a4,4000b5d6 <llc_op_ch_map_upd_ind_handler+0x8a>
4000b5b4: f8c42783 lw a5,-116(s0)
4000b5b8: 864a mv a2,s2
4000b5ba: 4581 li a1,0
4000b5bc: 67c7a783 lw a5,1660(a5)
4000b5c0: 8526 mv a0,s1
4000b5c2: 9782 jalr a5
4000b5c4: f8c42783 lw a5,-116(s0)
4000b5c8: 4601 li a2,0
4000b5ca: 4581 li a1,0
4000b5cc: 61c7a783 lw a5,1564(a5)
4000b5d0: 8526 mv a0,s1
4000b5d2: 9782 jalr a5
4000b5d4: 4785 li a5,1
4000b5d6: 40f2 lw ra,28(sp)
4000b5d8: 4462 lw s0,24(sp)
4000b5da: 44d2 lw s1,20(sp)
4000b5dc: 4942 lw s2,16(sp)
4000b5de: 49b2 lw s3,12(sp)
4000b5e0: 853e mv a0,a5
4000b5e2: 6105 addi sp,sp,32
4000b5e4: 8082 ret
4000b5e6 <llm_ch_map_update_ind_handler>:
4000b5e6: 3fce07b7 lui a5,0x3fce0
4000b5ea: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b5ee: 7179 addi sp,sp,-48
4000b5f0: d04a sw s2,32(sp)
4000b5f2: 5f9c lw a5,56(a5)
4000b5f4: d606 sw ra,44(sp)
4000b5f6: d422 sw s0,40(sp)
4000b5f8: d226 sw s1,36(sp)
4000b5fa: ce4e sw s3,28(sp)
4000b5fc: cc52 sw s4,24(sp)
4000b5fe: 00865913 srli s2,a2,0x8
4000b602: c632 sw a2,12(sp)
4000b604: 9782 jalr a5
4000b606: 00d54783 lbu a5,13(a0)
4000b60a: 08f97063 bgeu s2,a5,4000b68a <llm_ch_map_update_ind_handler+0xa4>
4000b60e: 3fce0737 lui a4,0x3fce0
4000b612: 00291793 slli a5,s2,0x2
4000b616: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000b61a: 97ba add a5,a5,a4
4000b61c: 4380 lw s0,0(a5)
4000b61e: c435 beqz s0,4000b68a <llm_ch_map_update_ind_handler+0xa4>
4000b620: 04444783 lbu a5,68(s0)
4000b624: 470d li a4,3
4000b626: 8b8d andi a5,a5,3
4000b628: 06e78163 beq a5,a4,4000b68a <llm_ch_map_update_ind_handler+0xa4>
4000b62c: 04245783 lhu a5,66(s0)
4000b630: 1007f793 andi a5,a5,256
4000b634: ebb9 bnez a5,4000b68a <llm_ch_map_update_ind_handler+0xa4>
4000b636: 3fce09b7 lui s3,0x3fce0
4000b63a: f889a783 lw a5,-120(s3) # 3fcdff88 <r_modules_funcs_p>
4000b63e: 4632 lw a2,12(sp)
4000b640: 46c1 li a3,16
4000b642: 0c87a783 lw a5,200(a5)
4000b646: 85b2 mv a1,a2
4000b648: 10d00513 li a0,269
4000b64c: 9782 jalr a5
4000b64e: 4799 li a5,6
4000b650: 3fce0a37 lui s4,0x3fce0
4000b654: 00f50223 sb a5,4(a0)
4000b658: f8ca2783 lw a5,-116(s4) # 3fcdff8c <r_ip_funcs_p>
4000b65c: 4601 li a2,0
4000b65e: 85ca mv a1,s2
4000b660: 6847a783 lw a5,1668(a5)
4000b664: 84aa mv s1,a0
4000b666: 9782 jalr a5
4000b668: f8ca2783 lw a5,-116(s4)
4000b66c: 8526 mv a0,s1
4000b66e: 6187a783 lw a5,1560(a5)
4000b672: c09c sw a5,0(s1)
4000b674: f889a783 lw a5,-120(s3)
4000b678: 0e07a783 lw a5,224(a5)
4000b67c: 9782 jalr a5
4000b67e: 04245783 lhu a5,66(s0)
4000b682: 1007e793 ori a5,a5,256
4000b686: 04f41123 sh a5,66(s0)
4000b68a: 50b2 lw ra,44(sp)
4000b68c: 5422 lw s0,40(sp)
4000b68e: 5492 lw s1,36(sp)
4000b690: 5902 lw s2,32(sp)
4000b692: 49f2 lw s3,28(sp)
4000b694: 4a62 lw s4,24(sp)
4000b696: 4501 li a0,0
4000b698: 6145 addi sp,sp,48
4000b69a: 8082 ret
4000b69c <lld_ch_map_upd_cfm_handler>:
4000b69c: 1141 addi sp,sp,-16
4000b69e: c226 sw s1,4(sp)
4000b6a0: 3fce04b7 lui s1,0x3fce0
4000b6a4: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
4000b6a8: c422 sw s0,8(sp)
4000b6aa: 00865413 srli s0,a2,0x8
4000b6ae: 6787a783 lw a5,1656(a5)
4000b6b2: c04a sw s2,0(sp)
4000b6b4: c606 sw ra,12(sp)
4000b6b6: 4581 li a1,0
4000b6b8: 8522 mv a0,s0
4000b6ba: 9782 jalr a5
4000b6bc: 4919 li s2,6
4000b6be: f8c4a783 lw a5,-116(s1)
4000b6c2: 01251f63 bne a0,s2,4000b6e0 <lld_ch_map_upd_cfm_handler+0x44>
4000b6c6: 61c7a783 lw a5,1564(a5)
4000b6ca: 4601 li a2,0
4000b6cc: 4585 li a1,1
4000b6ce: 8522 mv a0,s0
4000b6d0: 9782 jalr a5
4000b6d2: 40b2 lw ra,12(sp)
4000b6d4: 4422 lw s0,8(sp)
4000b6d6: 4492 lw s1,4(sp)
4000b6d8: 4902 lw s2,0(sp)
4000b6da: 4501 li a0,0
4000b6dc: 0141 addi sp,sp,16
4000b6de: 8082 ret
4000b6e0: 6787a783 lw a5,1656(a5)
4000b6e4: 4585 li a1,1
4000b6e6: 8522 mv a0,s0
4000b6e8: 9782 jalr a5
4000b6ea: ff2514e3 bne a0,s2,4000b6d2 <lld_ch_map_upd_cfm_handler+0x36>
4000b6ee: f8c4a783 lw a5,-116(s1)
4000b6f2: 4601 li a2,0
4000b6f4: 4591 li a1,4
4000b6f6: 6207a783 lw a5,1568(a5)
4000b6fa: bfd1 j 4000b6ce <lld_ch_map_upd_cfm_handler+0x32>
4000b6fc <r_llc_con_upd_param_in_range>:
4000b6fc: 4501 li a0,0
4000b6fe: 04c5e363 bltu a1,a2,4000b744 <r_llc_con_upd_param_in_range+0x48>
4000b702: 1f300793 li a5,499
4000b706: 02d7ef63 bltu a5,a3,4000b744 <r_llc_con_upd_param_in_range+0x48>
4000b70a: 6785 lui a5,0x1
4000b70c: c8078813 addi a6,a5,-896 # c80 <RvExcFrameSize+0xbf0>
4000b710: 02b86a63 bltu a6,a1,4000b744 <r_llc_con_upd_param_in_range+0x48>
4000b714: 4815 li a6,5
4000b716: 02c87763 bgeu a6,a2,4000b744 <r_llc_con_upd_param_in_range+0x48>
4000b71a: ff670613 addi a2,a4,-10
4000b71e: 0642 slli a2,a2,0x10
4000b720: 8241 srli a2,a2,0x10
4000b722: c7678793 addi a5,a5,-906
4000b726: 00c7ef63 bltu a5,a2,4000b744 <r_llc_con_upd_param_in_range+0x48>
4000b72a: 030585b3 mul a1,a1,a6
4000b72e: 4529 li a0,10
4000b730: 0685 addi a3,a3,1
4000b732: 8585 srai a1,a1,0x1
4000b734: 02a70733 mul a4,a4,a0
4000b738: 02d585b3 mul a1,a1,a3
4000b73c: 00b725b3 slt a1,a4,a1
4000b740: 0015c513 xori a0,a1,1
4000b744: 8082 ret
4000b746 <r_llc_pref_param_compute>:
4000b746: 3fce0737 lui a4,0x3fce0
4000b74a: 715d addi sp,sp,-80
4000b74c: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000b750: 00251793 slli a5,a0,0x2
4000b754: 97ba add a5,a5,a4
4000b756: c0ca sw s2,64(sp)
4000b758: 3fce0937 lui s2,0x3fce0
4000b75c: da56 sw s5,52(sp)
4000b75e: 0007aa83 lw s5,0(a5)
4000b762: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
4000b766: c4a2 sw s0,72(sp)
4000b768: de4e sw s3,60(sp)
4000b76a: 3747a783 lw a5,884(a5)
4000b76e: dc52 sw s4,56(sp)
4000b770: c686 sw ra,76(sp)
4000b772: c2a6 sw s1,68(sp)
4000b774: 842e mv s0,a1
4000b776: 8a2a mv s4,a0
4000b778: 9782 jalr a5
4000b77a: 00845783 lhu a5,8(s0)
4000b77e: 00a45983 lhu s3,10(s0)
4000b782: 4689 li a3,2
4000b784: 0786 slli a5,a5,0x1
4000b786: c83e sw a5,16(sp)
4000b788: 01045783 lhu a5,16(s0)
4000b78c: 0986 slli s3,s3,0x1
4000b78e: ca4e sw s3,20(sp)
4000b790: 872a mv a4,a0
4000b792: 00d7f363 bgeu a5,a3,4000b798 <r_llc_pref_param_compute+0x52>
4000b796: 4789 li a5,2
4000b798: cc3e sw a5,24(sp)
4000b79a: 01245783 lhu a5,18(s0)
4000b79e: 4689 li a3,2
4000b7a0: 00d7f363 bgeu a5,a3,4000b7a6 <r_llc_pref_param_compute+0x60>
4000b7a4: 4789 li a5,2
4000b7a6: ce3e sw a5,28(sp)
4000b7a8: 02744783 lbu a5,39(s0)
4000b7ac: 03411023 sh s4,32(sp)
4000b7b0: 0786 slli a5,a5,0x1
4000b7b2: 02f10123 sb a5,34(sp)
4000b7b6: 4785 li a5,1
4000b7b8: 02f403a3 sb a5,39(s0)
4000b7bc: 042ad783 lhu a5,66(s5)
4000b7c0: 8b85 andi a5,a5,1
4000b7c2: eb9d bnez a5,4000b7f8 <r_llc_pref_param_compute+0xb2>
4000b7c4: fff98493 addi s1,s3,-1
4000b7c8: 94aa add s1,s1,a0
4000b7ca: 00099f63 bnez s3,4000b7e8 <r_llc_pref_param_compute+0xa2>
4000b7ce: 3fce07b7 lui a5,0x3fce0
4000b7d2: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b7d6: 3ff1b5b7 lui a1,0x3ff1b
4000b7da: 09400613 li a2,148
4000b7de: 479c lw a5,8(a5)
4000b7e0: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000b7e4: 4501 li a0,0
4000b7e6: 9782 jalr a5
4000b7e8: 0334f733 remu a4,s1,s3
4000b7ec: 47e2 lw a5,24(sp)
4000b7ee: 078d addi a5,a5,3
4000b7f0: cc3e sw a5,24(sp)
4000b7f2: 47f2 lw a5,28(sp)
4000b7f4: 078d addi a5,a5,3
4000b7f6: ce3e sw a5,28(sp)
4000b7f8: 00177793 andi a5,a4,1
4000b7fc: 02f101a3 sb a5,35(sp)
4000b800: 02d44783 lbu a5,45(s0)
4000b804: e7d1 bnez a5,4000b890 <r_llc_pref_param_compute+0x14a>
4000b806: 02e44783 lbu a5,46(s0)
4000b80a: e3d9 bnez a5,4000b890 <r_llc_pref_param_compute+0x14a>
4000b80c: 01645783 lhu a5,22(s0)
4000b810: 66c1 lui a3,0x10
4000b812: 16f5 addi a3,a3,-3
4000b814: fff78613 addi a2,a5,-1
4000b818: 0642 slli a2,a2,0x10
4000b81a: 8241 srli a2,a2,0x10
4000b81c: 06c6ea63 bltu a3,a2,4000b890 <r_llc_pref_param_compute+0x14a>
4000b820: 46e2 lw a3,24(sp)
4000b822: 00845483 lhu s1,8(s0)
4000b826: 0786 slli a5,a5,0x1
4000b828: c236 sw a3,4(sp)
4000b82a: 02015683 lhu a3,32(sp)
4000b82e: 00149993 slli s3,s1,0x1
4000b832: c04e sw s3,0(sp)
4000b834: 00d11623 sh a3,12(sp)
4000b838: 00e784b3 add s1,a5,a4
4000b83c: 00099f63 bnez s3,4000b85a <r_llc_pref_param_compute+0x114>
4000b840: 3fce07b7 lui a5,0x3fce0
4000b844: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b848: 3ff1b5b7 lui a1,0x3ff1b
4000b84c: 09400613 li a2,148
4000b850: 479c lw a5,8(a5)
4000b852: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000b856: 4501 li a0,0
4000b858: 9782 jalr a5
4000b85a: 0334f4b3 remu s1,s1,s3
4000b85e: f8c92783 lw a5,-116(s2)
4000b862: 850a mv a0,sp
4000b864: 6d87a783 lw a5,1752(a5)
4000b868: c426 sw s1,8(sp)
4000b86a: 9782 jalr a5
4000b86c: e115 bnez a0,4000b890 <r_llc_pref_param_compute+0x14a>
4000b86e: 01645783 lhu a5,22(s0)
4000b872: 02f41523 sh a5,42(s0)
4000b876: 4782 lw a5,0(sp)
4000b878: 8385 srli a5,a5,0x1
4000b87a: 02f41423 sh a5,40(s0)
4000b87e: 40b6 lw ra,76(sp)
4000b880: 4426 lw s0,72(sp)
4000b882: 4496 lw s1,68(sp)
4000b884: 4906 lw s2,64(sp)
4000b886: 59f2 lw s3,60(sp)
4000b888: 5a62 lw s4,56(sp)
4000b88a: 5ad2 lw s5,52(sp)
4000b88c: 6161 addi sp,sp,80
4000b88e: 8082 ret
4000b890: f8c92783 lw a5,-116(s2)
4000b894: 0808 addi a0,sp,16
4000b896: 6f87a783 lw a5,1784(a5)
4000b89a: 9782 jalr a5
4000b89c: e125 bnez a0,4000b8fc <r_llc_pref_param_compute+0x1b6>
4000b89e: 042ad783 lhu a5,66(s5)
4000b8a2: 54a2 lw s1,40(sp)
4000b8a4: 8b85 andi a5,a5,1
4000b8a6: e39d bnez a5,4000b8cc <r_llc_pref_param_compute+0x186>
4000b8a8: 5992 lw s3,36(sp)
4000b8aa: 0485 addi s1,s1,1
4000b8ac: 00099e63 bnez s3,4000b8c8 <r_llc_pref_param_compute+0x182>
4000b8b0: 3fce07b7 lui a5,0x3fce0
4000b8b4: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000b8b8: 3ff1b5b7 lui a1,0x3ff1b
4000b8bc: 09400613 li a2,148
4000b8c0: 479c lw a5,8(a5)
4000b8c2: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000b8c6: 9782 jalr a5
4000b8c8: 0334f4b3 remu s1,s1,s3
4000b8cc: 5612 lw a2,36(sp)
4000b8ce: f8c92783 lw a5,-116(s2)
4000b8d2: 01445583 lhu a1,20(s0)
4000b8d6: 8205 srli a2,a2,0x1
4000b8d8: 3187a783 lw a5,792(a5)
4000b8dc: 01049693 slli a3,s1,0x10
4000b8e0: 0642 slli a2,a2,0x10
4000b8e2: 4701 li a4,0
4000b8e4: 82c1 srli a3,a3,0x10
4000b8e6: 8241 srli a2,a2,0x10
4000b8e8: 8552 mv a0,s4
4000b8ea: 9782 jalr a5
4000b8ec: 4e200793 li a5,1250
4000b8f0: 02f54533 div a0,a0,a5
4000b8f4: 5792 lw a5,36(sp)
4000b8f6: 02a41523 sh a0,42(s0)
4000b8fa: bfbd j 4000b878 <r_llc_pref_param_compute+0x132>
4000b8fc: 02041523 sh zero,42(s0)
4000b900: 00a45783 lhu a5,10(s0)
4000b904: bf9d j 4000b87a <r_llc_pref_param_compute+0x134>
4000b906 <llc_ll_connection_update_ind_pdu_send>:
4000b906: 1101 addi sp,sp,-32
4000b908: ce06 sw ra,28(sp)
4000b90a: 0265c783 lbu a5,38(a1)
4000b90e: 00010223 sb zero,4(sp)
4000b912: 4601 li a2,0
4000b914: 00f102a3 sb a5,5(sp)
4000b918: 0225d783 lhu a5,34(a1)
4000b91c: 00f11323 sh a5,6(sp)
4000b920: 0285d783 lhu a5,40(a1)
4000b924: 00f11423 sh a5,8(sp)
4000b928: 00c5d783 lhu a5,12(a1)
4000b92c: 00f11523 sh a5,10(sp)
4000b930: 00e5d783 lhu a5,14(a1)
4000b934: 00f11623 sh a5,12(sp)
4000b938: 0245d783 lhu a5,36(a1)
4000b93c: 004c addi a1,sp,4
4000b93e: 00f11723 sh a5,14(sp)
4000b942: 3fce07b7 lui a5,0x3fce0
4000b946: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000b94a: 5b47a783 lw a5,1460(a5)
4000b94e: 9782 jalr a5
4000b950: 40f2 lw ra,28(sp)
4000b952: 6105 addi sp,sp,32
4000b954: 8082 ret
4000b956 <llc_ll_connection_param_req_pdu_send>:
4000b956: 7179 addi sp,sp,-48
4000b958: 47bd li a5,15
4000b95a: 00f10223 sb a5,4(sp)
4000b95e: 0085d783 lhu a5,8(a1)
4000b962: d606 sw ra,44(sp)
4000b964: 49d8 lw a4,20(a1)
4000b966: 00f11323 sh a5,6(sp)
4000b96a: 00a5d783 lhu a5,10(a1)
4000b96e: c83a sw a4,16(sp)
4000b970: 4d98 lw a4,24(a1)
4000b972: 00f11423 sh a5,8(sp)
4000b976: 00c5d783 lhu a5,12(a1)
4000b97a: ca3a sw a4,20(sp)
4000b97c: 4dd8 lw a4,28(a1)
4000b97e: 00f11523 sh a5,10(sp)
4000b982: 00e5d783 lhu a5,14(a1)
4000b986: cc3a sw a4,24(sp)
4000b988: 4601 li a2,0
4000b98a: 00f11623 sh a5,12(sp)
4000b98e: 0275c783 lbu a5,39(a1)
4000b992: 00f10723 sb a5,14(sp)
4000b996: 0205d783 lhu a5,32(a1)
4000b99a: 004c addi a1,sp,4
4000b99c: 00f11e23 sh a5,28(sp)
4000b9a0: 3fce07b7 lui a5,0x3fce0
4000b9a4: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000b9a8: 5b47a783 lw a5,1460(a5)
4000b9ac: 9782 jalr a5
4000b9ae: 50b2 lw ra,44(sp)
4000b9b0: 6145 addi sp,sp,48
4000b9b2: 8082 ret
4000b9b4 <r_llc_loc_con_upd_proc_continue>:
4000b9b4: 7179 addi sp,sp,-48
4000b9b6: ca56 sw s5,20(sp)
4000b9b8: 3fce0ab7 lui s5,0x3fce0
4000b9bc: c65e sw s7,12(sp)
4000b9be: ceca8793 addi a5,s5,-788 # 3fcdfcec <llc_env>
4000b9c2: 00251b93 slli s7,a0,0x2
4000b9c6: d04a sw s2,32(sp)
4000b9c8: 97de add a5,a5,s7
4000b9ca: 3fce0937 lui s2,0x3fce0
4000b9ce: ce4e sw s3,28(sp)
4000b9d0: 0007a983 lw s3,0(a5)
4000b9d4: f8c92783 lw a5,-116(s2) # 3fcdff8c <r_ip_funcs_p>
4000b9d8: d422 sw s0,40(sp)
4000b9da: d226 sw s1,36(sp)
4000b9dc: 6747a783 lw a5,1652(a5)
4000b9e0: cc52 sw s4,24(sp)
4000b9e2: c85a sw s6,16(sp)
4000b9e4: d606 sw ra,44(sp)
4000b9e6: 8b2e mv s6,a1
4000b9e8: 4581 li a1,0
4000b9ea: 84aa mv s1,a0
4000b9ec: 8a32 mv s4,a2
4000b9ee: 9782 jalr a5
4000b9f0: 4799 li a5,6
4000b9f2: 842a mv s0,a0
4000b9f4: ceca8a93 addi s5,s5,-788
4000b9f8: 02fb1163 bne s6,a5,4000ba1a <r_llc_loc_con_upd_proc_continue+0x66>
4000b9fc: f8c92783 lw a5,-116(s2)
4000ba00: 85a6 mv a1,s1
4000ba02: 4619 li a2,6
4000ba04: 6847a783 lw a5,1668(a5)
4000ba08: 9782 jalr a5
4000ba0a: f8c92783 lw a5,-116(s2)
4000ba0e: 00e9d583 lhu a1,14(s3)
4000ba12: 8526 mv a0,s1
4000ba14: 3c87a783 lw a5,968(a5)
4000ba18: 9782 jalr a5
4000ba1a: f8c92783 lw a5,-116(s2)
4000ba1e: 8522 mv a0,s0
4000ba20: 6807a783 lw a5,1664(a5)
4000ba24: 9782 jalr a5
4000ba26: 05650063 beq a0,s6,4000ba66 <r_llc_loc_con_upd_proc_continue+0xb2>
4000ba2a: 3fce07b7 lui a5,0x3fce0
4000ba2e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000ba32: 8522 mv a0,s0
4000ba34: 4b84 lw s1,16(a5)
4000ba36: f8c92783 lw a5,-116(s2)
4000ba3a: 6807a783 lw a5,1664(a5)
4000ba3e: 9782 jalr a5
4000ba40: 3ff1b637 lui a2,0x3ff1b
4000ba44: 14d00693 li a3,333
4000ba48: 87c60613 addi a2,a2,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000ba4c: 85da mv a1,s6
4000ba4e: 8326 mv t1,s1
4000ba50: 5422 lw s0,40(sp)
4000ba52: 50b2 lw ra,44(sp)
4000ba54: 5492 lw s1,36(sp)
4000ba56: 5902 lw s2,32(sp)
4000ba58: 49f2 lw s3,28(sp)
4000ba5a: 4a62 lw s4,24(sp)
4000ba5c: 4ad2 lw s5,20(sp)
4000ba5e: 4b42 lw s6,16(sp)
4000ba60: 4bb2 lw s7,12(sp)
4000ba62: 6145 addi sp,sp,48
4000ba64: 8302 jr t1
4000ba66: f8c92783 lw a5,-116(s2)
4000ba6a: 8522 mv a0,s0
4000ba6c: 6807a783 lw a5,1664(a5)
4000ba70: 9782 jalr a5
4000ba72: 4799 li a5,6
4000ba74: 28a7e863 bltu a5,a0,4000bd04 <r_llc_loc_con_upd_proc_continue+0x350>
4000ba78: 3ff1a7b7 lui a5,0x3ff1a
4000ba7c: c9478793 addi a5,a5,-876 # 3ff19c94 <_rodata_start+0x94>
4000ba80: 050a slli a0,a0,0x2
4000ba82: 953e add a0,a0,a5
4000ba84: 411c lw a5,0(a0)
4000ba86: 8782 jr a5
4000ba88: f8c92783 lw a5,-116(s2)
4000ba8c: 4601 li a2,0
4000ba8e: 4581 li a1,0
4000ba90: 68c7a783 lw a5,1676(a5)
4000ba94: 8526 mv a0,s1
4000ba96: 9782 jalr a5
4000ba98: 0c0a0563 beqz s4,4000bb62 <r_llc_loc_con_upd_proc_continue+0x1ae>
4000ba9c: 3fce0b37 lui s6,0x3fce0
4000baa0: f80b2783 lw a5,-128(s6) # 3fcdff80 <r_plf_funcs_p>
4000baa4: 5f9c lw a5,56(a5)
4000baa6: 9782 jalr a5
4000baa8: 00d54783 lbu a5,13(a0)
4000baac: 0af4fb63 bgeu s1,a5,4000bb62 <r_llc_loc_con_upd_proc_continue+0x1ae>
4000bab0: 017a87b3 add a5,s5,s7
4000bab4: 439c lw a5,0(a5)
4000bab6: c7d5 beqz a5,4000bb62 <r_llc_loc_con_upd_proc_continue+0x1ae>
4000bab8: 0307c783 lbu a5,48(a5)
4000babc: 8785 srai a5,a5,0x1
4000babe: 8b85 andi a5,a5,1
4000bac0: c3cd beqz a5,4000bb62 <r_llc_loc_con_upd_proc_continue+0x1ae>
4000bac2: 0429d783 lhu a5,66(s3)
4000bac6: 8b85 andi a5,a5,1
4000bac8: e7a9 bnez a5,4000bb12 <r_llc_loc_con_upd_proc_continue+0x15e>
4000baca: f8c92783 lw a5,-116(s2)
4000bace: 8526 mv a0,s1
4000bad0: 4f07a783 lw a5,1264(a5)
4000bad4: 9782 jalr a5
4000bad6: 8aaa mv s5,a0
4000bad8: e919 bnez a0,4000baee <r_llc_loc_con_upd_proc_continue+0x13a>
4000bada: f80b2783 lw a5,-128(s6)
4000bade: 3ff1b5b7 lui a1,0x3ff1b
4000bae2: 16000613 li a2,352
4000bae6: 479c lw a5,8(a5)
4000bae8: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000baec: 9782 jalr a5
4000baee: 004aa783 lw a5,4(s5)
4000baf2: ef81 bnez a5,4000bb0a <r_llc_loc_con_upd_proc_continue+0x156>
4000baf4: f80b2783 lw a5,-128(s6)
4000baf8: 3ff1b5b7 lui a1,0x3ff1b
4000bafc: 16100613 li a2,353
4000bb00: 479c lw a5,8(a5)
4000bb02: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000bb06: 4501 li a0,0
4000bb08: 9782 jalr a5
4000bb0a: 000aac23 sw zero,24(s5)
4000bb0e: 000a8b23 sb zero,22(s5)
4000bb12: 02d44783 lbu a5,45(s0)
4000bb16: e799 bnez a5,4000bb24 <r_llc_loc_con_upd_proc_continue+0x170>
4000bb18: 0459c783 lbu a5,69(s3)
4000bb1c: 07f7f793 andi a5,a5,127
4000bb20: 04f982a3 sb a5,69(s3)
4000bb24: f8c92783 lw a5,-116(s2)
4000bb28: 8622 mv a2,s0
4000bb2a: 85d2 mv a1,s4
4000bb2c: 5f07a783 lw a5,1520(a5)
4000bb30: 8526 mv a0,s1
4000bb32: 9782 jalr a5
4000bb34: f8c92783 lw a5,-116(s2)
4000bb38: 8526 mv a0,s1
4000bb3a: 4581 li a1,0
4000bb3c: 6907a783 lw a5,1680(a5)
4000bb40: 9782 jalr a5
4000bb42: 0429d783 lhu a5,66(s3)
4000bb46: 50b2 lw ra,44(sp)
4000bb48: 5422 lw s0,40(sp)
4000bb4a: 9bbd andi a5,a5,-17
4000bb4c: 04f99123 sh a5,66(s3)
4000bb50: 5492 lw s1,36(sp)
4000bb52: 5902 lw s2,32(sp)
4000bb54: 49f2 lw s3,28(sp)
4000bb56: 4a62 lw s4,24(sp)
4000bb58: 4ad2 lw s5,20(sp)
4000bb5a: 4b42 lw s6,16(sp)
4000bb5c: 4bb2 lw s7,12(sp)
4000bb5e: 6145 addi sp,sp,48
4000bb60: 8082 ret
4000bb62: 0429d783 lhu a5,66(s3)
4000bb66: 8b85 andi a5,a5,1
4000bb68: ef99 bnez a5,4000bb86 <r_llc_loc_con_upd_proc_continue+0x1d2>
4000bb6a: 3fce07b7 lui a5,0x3fce0
4000bb6e: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000bb72: 3ff1b637 lui a2,0x3ff1b
4000bb76: 16f00693 li a3,367
4000bb7a: 47dc lw a5,12(a5)
4000bb7c: 87c60613 addi a2,a2,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000bb80: 85d2 mv a1,s4
4000bb82: 8526 mv a0,s1
4000bb84: 9782 jalr a5
4000bb86: 4785 li a5,1
4000bb88: 02f40623 sb a5,44(s0)
4000bb8c: 0429d783 lhu a5,66(s3)
4000bb90: 02c44703 lbu a4,44(s0)
4000bb94: 8526 mv a0,s1
4000bb96: 0107e793 ori a5,a5,16
4000bb9a: 04f99123 sh a5,66(s3)
4000bb9e: f8c92783 lw a5,-116(s2)
4000bba2: 3387a783 lw a5,824(a5)
4000bba6: e359 bnez a4,4000bc2c <r_llc_loc_con_upd_proc_continue+0x278>
4000bba8: 9782 jalr a5
4000bbaa: f8c92783 lw a5,-116(s2)
4000bbae: 00a41a23 sh a0,20(s0)
4000bbb2: 85a2 mv a1,s0
4000bbb4: 5fc7a783 lw a5,1532(a5)
4000bbb8: 8526 mv a0,s1
4000bbba: 9782 jalr a5
4000bbbc: 02a45783 lhu a5,42(s0)
4000bbc0: 85a2 mv a1,s0
4000bbc2: 8526 mv a0,s1
4000bbc4: 00f41b23 sh a5,22(s0)
4000bbc8: 57fd li a5,-1
4000bbca: cc1c sw a5,24(s0)
4000bbcc: cc5c sw a5,28(s0)
4000bbce: 02f41023 sh a5,32(s0)
4000bbd2: 3351 jal 4000b956 <llc_ll_connection_param_req_pdu_send>
4000bbd4: f8c92783 lw a5,-116(s2)
4000bbd8: 4605 li a2,1
4000bbda: 4581 li a1,0
4000bbdc: 68c7a783 lw a5,1676(a5)
4000bbe0: 8526 mv a0,s1
4000bbe2: 9782 jalr a5
4000bbe4: 0429d783 lhu a5,66(s3)
4000bbe8: 8b85 andi a5,a5,1
4000bbea: c39d beqz a5,4000bc10 <r_llc_loc_con_upd_proc_continue+0x25c>
4000bbec: f8c92783 lw a5,-116(s2)
4000bbf0: 4609 li a2,2
4000bbf2: 6847a303 lw t1,1668(a5)
4000bbf6: 8522 mv a0,s0
4000bbf8: 5422 lw s0,40(sp)
4000bbfa: 50b2 lw ra,44(sp)
4000bbfc: 5902 lw s2,32(sp)
4000bbfe: 49f2 lw s3,28(sp)
4000bc00: 4a62 lw s4,24(sp)
4000bc02: 4ad2 lw s5,20(sp)
4000bc04: 4b42 lw s6,16(sp)
4000bc06: 4bb2 lw s7,12(sp)
4000bc08: 85a6 mv a1,s1
4000bc0a: 5492 lw s1,36(sp)
4000bc0c: 6145 addi sp,sp,48
4000bc0e: 8302 jr t1
4000bc10: f8c92783 lw a5,-116(s2)
4000bc14: 00845583 lhu a1,8(s0)
4000bc18: 8526 mv a0,s1
4000bc1a: 3c87a783 lw a5,968(a5)
4000bc1e: 9782 jalr a5
4000bc20: f8c92783 lw a5,-116(s2)
4000bc24: 460d li a2,3
4000bc26: 6847a303 lw t1,1668(a5)
4000bc2a: b7f1 j 4000bbf6 <r_llc_loc_con_upd_proc_continue+0x242>
4000bc2c: 9782 jalr a5
4000bc2e: 9ade add s5,s5,s7
4000bc30: 000aa783 lw a5,0(s5)
4000bc34: 4725 li a4,9
4000bc36: 85a2 mv a1,s0
4000bc38: 0107d783 lhu a5,16(a5)
4000bc3c: 0785 addi a5,a5,1
4000bc3e: 02e787b3 mul a5,a5,a4
4000bc42: 953e add a0,a0,a5
4000bc44: 4785 li a5,1
4000bc46: 02f40323 sb a5,38(s0)
4000bc4a: f8c92783 lw a5,-116(s2)
4000bc4e: 0542 slli a0,a0,0x10
4000bc50: 8141 srli a0,a0,0x10
4000bc52: 5fc7a783 lw a5,1532(a5)
4000bc56: 02a41223 sh a0,36(s0)
4000bc5a: 00a41a23 sh a0,20(s0)
4000bc5e: 8526 mv a0,s1
4000bc60: 9782 jalr a5
4000bc62: f8c92783 lw a5,-116(s2)
4000bc66: 02a45603 lhu a2,42(s0)
4000bc6a: 02644583 lbu a1,38(s0)
4000bc6e: 3787a883 lw a7,888(a5)
4000bc72: 02445803 lhu a6,36(s0)
4000bc76: 00e45783 lhu a5,14(s0)
4000bc7a: 00c45703 lhu a4,12(s0)
4000bc7e: 02845683 lhu a3,40(s0)
4000bc82: 02c41123 sh a2,34(s0)
4000bc86: 8526 mv a0,s1
4000bc88: 9882 jalr a7
4000bc8a: f8c92783 lw a5,-116(s2)
4000bc8e: 8a2a mv s4,a0
4000bc90: 4611 li a2,4
4000bc92: 6847a783 lw a5,1668(a5)
4000bc96: 85a6 mv a1,s1
4000bc98: 8522 mv a0,s0
4000bc9a: 9782 jalr a5
4000bc9c: e60a1be3 bnez s4,4000bb12 <r_llc_loc_con_upd_proc_continue+0x15e>
4000bca0: 85a2 mv a1,s0
4000bca2: 5422 lw s0,40(sp)
4000bca4: 50b2 lw ra,44(sp)
4000bca6: 5902 lw s2,32(sp)
4000bca8: 49f2 lw s3,28(sp)
4000bcaa: 4a62 lw s4,24(sp)
4000bcac: 4ad2 lw s5,20(sp)
4000bcae: 4b42 lw s6,16(sp)
4000bcb0: 4bb2 lw s7,12(sp)
4000bcb2: 8526 mv a0,s1
4000bcb4: 5492 lw s1,36(sp)
4000bcb6: 6145 addi sp,sp,48
4000bcb8: b1b9 j 4000b906 <llc_ll_connection_update_ind_pdu_send>
4000bcba: f8c92783 lw a5,-116(s2)
4000bcbe: 4601 li a2,0
4000bcc0: 4581 li a1,0
4000bcc2: 68c7a783 lw a5,1676(a5)
4000bcc6: 8526 mv a0,s1
4000bcc8: 9782 jalr a5
4000bcca: e40a14e3 bnez s4,4000bb12 <r_llc_loc_con_upd_proc_continue+0x15e>
4000bcce: f8c92783 lw a5,-116(s2)
4000bcd2: 02445803 lhu a6,36(s0)
4000bcd6: 00c45703 lhu a4,12(s0)
4000bcda: 3787a883 lw a7,888(a5)
4000bcde: 02845683 lhu a3,40(s0)
4000bce2: 00e45783 lhu a5,14(s0)
4000bce6: 02245603 lhu a2,34(s0)
4000bcea: 02644583 lbu a1,38(s0)
4000bcee: 8526 mv a0,s1
4000bcf0: 9882 jalr a7
4000bcf2: 8a2a mv s4,a0
4000bcf4: e0051fe3 bnez a0,4000bb12 <r_llc_loc_con_upd_proc_continue+0x15e>
4000bcf8: f8c92783 lw a5,-116(s2)
4000bcfc: 4611 li a2,4
4000bcfe: 6847a303 lw t1,1668(a5)
4000bd02: bdd5 j 4000bbf6 <r_llc_loc_con_upd_proc_continue+0x242>
4000bd04: 3fce07b7 lui a5,0x3fce0
4000bd08: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000bd0c: 8522 mv a0,s0
4000bd0e: 00c7a983 lw s3,12(a5)
4000bd12: f8c92783 lw a5,-116(s2)
4000bd16: 6807a783 lw a5,1664(a5)
4000bd1a: 9782 jalr a5
4000bd1c: 3ff1b637 lui a2,0x3ff1b
4000bd20: 85aa mv a1,a0
4000bd22: 1e300693 li a3,483
4000bd26: 87c60613 addi a2,a2,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000bd2a: 8526 mv a0,s1
4000bd2c: 834e mv t1,s3
4000bd2e: b30d j 4000ba50 <r_llc_loc_con_upd_proc_continue+0x9c>
4000bd30 <llc_ll_connection_param_rsp_pdu_send>:
4000bd30: 7179 addi sp,sp,-48
4000bd32: 47c1 li a5,16
4000bd34: 00f10223 sb a5,4(sp)
4000bd38: 0085d783 lhu a5,8(a1)
4000bd3c: d606 sw ra,44(sp)
4000bd3e: 49d8 lw a4,20(a1)
4000bd40: 00f11323 sh a5,6(sp)
4000bd44: 00a5d783 lhu a5,10(a1)
4000bd48: c83a sw a4,16(sp)
4000bd4a: 4d98 lw a4,24(a1)
4000bd4c: 00f11423 sh a5,8(sp)
4000bd50: 00c5d783 lhu a5,12(a1)
4000bd54: ca3a sw a4,20(sp)
4000bd56: 4dd8 lw a4,28(a1)
4000bd58: 00f11523 sh a5,10(sp)
4000bd5c: 00e5d783 lhu a5,14(a1)
4000bd60: cc3a sw a4,24(sp)
4000bd62: 4601 li a2,0
4000bd64: 00f11623 sh a5,12(sp)
4000bd68: 0275c783 lbu a5,39(a1)
4000bd6c: 00f10723 sb a5,14(sp)
4000bd70: 0205d783 lhu a5,32(a1)
4000bd74: 004c addi a1,sp,4
4000bd76: 00f11e23 sh a5,28(sp)
4000bd7a: 3fce07b7 lui a5,0x3fce0
4000bd7e: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000bd82: 5b47a783 lw a5,1460(a5)
4000bd86: 9782 jalr a5
4000bd88: 50b2 lw ra,44(sp)
4000bd8a: 6145 addi sp,sp,48
4000bd8c: 8082 ret
4000bd8e <r_llc_rem_con_upd_proc_continue>:
4000bd8e: 1101 addi sp,sp,-32
4000bd90: ca26 sw s1,20(sp)
4000bd92: 3fce04b7 lui s1,0x3fce0
4000bd96: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
4000bd9a: cc22 sw s0,24(sp)
4000bd9c: c84a sw s2,16(sp)
4000bd9e: 6747a783 lw a5,1652(a5)
4000bda2: c64e sw s3,12(sp)
4000bda4: c452 sw s4,8(sp)
4000bda6: c256 sw s5,4(sp)
4000bda8: ce06 sw ra,28(sp)
4000bdaa: 8aae mv s5,a1
4000bdac: 4585 li a1,1
4000bdae: 892a mv s2,a0
4000bdb0: 8a32 mv s4,a2
4000bdb2: 9782 jalr a5
4000bdb4: 3fce0737 lui a4,0x3fce0
4000bdb8: 00291793 slli a5,s2,0x2
4000bdbc: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000bdc0: 97ba add a5,a5,a4
4000bdc2: 0007a983 lw s3,0(a5)
4000bdc6: 47ad li a5,11
4000bdc8: 842a mv s0,a0
4000bdca: 02fa9163 bne s5,a5,4000bdec <r_llc_rem_con_upd_proc_continue+0x5e>
4000bdce: f8c4a783 lw a5,-116(s1)
4000bdd2: 85ca mv a1,s2
4000bdd4: 462d li a2,11
4000bdd6: 6847a783 lw a5,1668(a5)
4000bdda: 9782 jalr a5
4000bddc: f8c4a783 lw a5,-116(s1)
4000bde0: 00e9d583 lhu a1,14(s3)
4000bde4: 854a mv a0,s2
4000bde6: 3c87a783 lw a5,968(a5)
4000bdea: 9782 jalr a5
4000bdec: f8c4a783 lw a5,-116(s1)
4000bdf0: 8522 mv a0,s0
4000bdf2: 6807a783 lw a5,1664(a5)
4000bdf6: 9782 jalr a5
4000bdf8: 03550f63 beq a0,s5,4000be36 <r_llc_rem_con_upd_proc_continue+0xa8>
4000bdfc: 3fce07b7 lui a5,0x3fce0
4000be00: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000be04: 8522 mv a0,s0
4000be06: 0107a903 lw s2,16(a5)
4000be0a: f8c4a783 lw a5,-116(s1)
4000be0e: 6807a783 lw a5,1664(a5)
4000be12: 9782 jalr a5
4000be14: 3ff1b637 lui a2,0x3ff1b
4000be18: 27200693 li a3,626
4000be1c: 87c60613 addi a2,a2,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000be20: 85d6 mv a1,s5
4000be22: 834a mv t1,s2
4000be24: 4462 lw s0,24(sp)
4000be26: 40f2 lw ra,28(sp)
4000be28: 44d2 lw s1,20(sp)
4000be2a: 4942 lw s2,16(sp)
4000be2c: 49b2 lw s3,12(sp)
4000be2e: 4a22 lw s4,8(sp)
4000be30: 4a92 lw s5,4(sp)
4000be32: 6105 addi sp,sp,32
4000be34: 8302 jr t1
4000be36: f8c4a783 lw a5,-116(s1)
4000be3a: 8522 mv a0,s0
4000be3c: 6807a783 lw a5,1664(a5)
4000be40: 9782 jalr a5
4000be42: 1565 addi a0,a0,-7
4000be44: 0ff57513 andi a0,a0,255
4000be48: 4791 li a5,4
4000be4a: 1ca7e763 bltu a5,a0,4000c018 <r_llc_rem_con_upd_proc_continue+0x28a>
4000be4e: 3ff1a7b7 lui a5,0x3ff1a
4000be52: cb078793 addi a5,a5,-848 # 3ff19cb0 <_rodata_start+0xb0>
4000be56: 050a slli a0,a0,0x2
4000be58: 953e add a0,a0,a5
4000be5a: 411c lw a5,0(a0)
4000be5c: 8782 jr a5
4000be5e: 1e0a1363 bnez s4,4000c044 <r_llc_rem_con_upd_proc_continue+0x2b6>
4000be62: f8c4a783 lw a5,-116(s1)
4000be66: 4601 li a2,0
4000be68: 4585 li a1,1
4000be6a: 68c7a783 lw a5,1676(a5)
4000be6e: 854a mv a0,s2
4000be70: 9782 jalr a5
4000be72: 4785 li a5,1
4000be74: 02f40623 sb a5,44(s0)
4000be78: 0429d783 lhu a5,66(s3)
4000be7c: 0107e793 ori a5,a5,16
4000be80: 04f99123 sh a5,66(s3)
4000be84: 02c44783 lbu a5,44(s0)
4000be88: c7b1 beqz a5,4000bed4 <r_llc_rem_con_upd_proc_continue+0x146>
4000be8a: f8c4a783 lw a5,-116(s1)
4000be8e: 02445803 lhu a6,36(s0)
4000be92: 00c45703 lhu a4,12(s0)
4000be96: 3787a883 lw a7,888(a5)
4000be9a: 02845683 lhu a3,40(s0)
4000be9e: 00e45783 lhu a5,14(s0)
4000bea2: 02245603 lhu a2,34(s0)
4000bea6: 02644583 lbu a1,38(s0)
4000beaa: 854a mv a0,s2
4000beac: 9882 jalr a7
4000beae: 8a2a mv s4,a0
4000beb0: 18051a63 bnez a0,4000c044 <r_llc_rem_con_upd_proc_continue+0x2b6>
4000beb4: f8c4a783 lw a5,-116(s1)
4000beb8: 8522 mv a0,s0
4000beba: 4462 lw s0,24(sp)
4000bebc: 40f2 lw ra,28(sp)
4000bebe: 44d2 lw s1,20(sp)
4000bec0: 49b2 lw s3,12(sp)
4000bec2: 4a22 lw s4,8(sp)
4000bec4: 4a92 lw s5,4(sp)
4000bec6: 6847a303 lw t1,1668(a5)
4000beca: 85ca mv a1,s2
4000becc: 4942 lw s2,16(sp)
4000bece: 4629 li a2,10
4000bed0: 6105 addi sp,sp,32
4000bed2: 8302 jr t1
4000bed4: 00845583 lhu a1,8(s0)
4000bed8: 00e9d783 lhu a5,14(s3)
4000bedc: 00a45603 lhu a2,10(s0)
4000bee0: 06b7ed63 bltu a5,a1,4000bf5a <r_llc_rem_con_upd_proc_continue+0x1cc>
4000bee4: 06f66b63 bltu a2,a5,4000bf5a <r_llc_rem_con_upd_proc_continue+0x1cc>
4000bee8: 4454 lw a3,12(s0)
4000beea: 0109a703 lw a4,16(s3)
4000beee: 06e69663 bne a3,a4,4000bf5a <r_llc_rem_con_upd_proc_continue+0x1cc>
4000bef2: 00f41423 sh a5,8(s0)
4000bef6: 00f41523 sh a5,10(s0)
4000befa: 100a1563 bnez s4,4000c004 <r_llc_rem_con_upd_proc_continue+0x276>
4000befe: 0429d783 lhu a5,66(s3)
4000bf02: 8b85 andi a5,a5,1
4000bf04: ebc9 bnez a5,4000bf96 <r_llc_rem_con_upd_proc_continue+0x208>
4000bf06: f8c4a783 lw a5,-116(s1)
4000bf0a: 85a2 mv a1,s0
4000bf0c: 854a mv a0,s2
4000bf0e: 5fc7a783 lw a5,1532(a5)
4000bf12: 9782 jalr a5
4000bf14: 85a2 mv a1,s0
4000bf16: 854a mv a0,s2
4000bf18: 3d21 jal 4000bd30 <llc_ll_connection_param_rsp_pdu_send>
4000bf1a: f8c4a783 lw a5,-116(s1)
4000bf1e: 85ca mv a1,s2
4000bf20: 8522 mv a0,s0
4000bf22: 6847a783 lw a5,1668(a5)
4000bf26: 4625 li a2,9
4000bf28: 9782 jalr a5
4000bf2a: f8c4a783 lw a5,-116(s1)
4000bf2e: 854a mv a0,s2
4000bf30: 4585 li a1,1
4000bf32: 68c7a783 lw a5,1676(a5)
4000bf36: 4605 li a2,1
4000bf38: 9782 jalr a5
4000bf3a: f8c4a783 lw a5,-116(s1)
4000bf3e: 00845583 lhu a1,8(s0)
4000bf42: 4462 lw s0,24(sp)
4000bf44: 40f2 lw ra,28(sp)
4000bf46: 44d2 lw s1,20(sp)
4000bf48: 49b2 lw s3,12(sp)
4000bf4a: 4a22 lw s4,8(sp)
4000bf4c: 4a92 lw s5,4(sp)
4000bf4e: 3c87a303 lw t1,968(a5)
4000bf52: 854a mv a0,s2
4000bf54: 4942 lw s2,16(sp)
4000bf56: 6105 addi sp,sp,32
4000bf58: 8302 jr t1
4000bf5a: f8c4a783 lw a5,-116(s1)
4000bf5e: 00e45703 lhu a4,14(s0)
4000bf62: 00c45683 lhu a3,12(s0)
4000bf66: 5ec7a783 lw a5,1516(a5)
4000bf6a: 854a mv a0,s2
4000bf6c: 9782 jalr a5
4000bf6e: f8c4a783 lw a5,-116(s1)
4000bf72: 85ca mv a1,s2
4000bf74: 8522 mv a0,s0
4000bf76: 6847a783 lw a5,1668(a5)
4000bf7a: 4621 li a2,8
4000bf7c: 9782 jalr a5
4000bf7e: 4785 li a5,1
4000bf80: 02f40723 sb a5,46(s0)
4000bf84: 40f2 lw ra,28(sp)
4000bf86: 4462 lw s0,24(sp)
4000bf88: 44d2 lw s1,20(sp)
4000bf8a: 4942 lw s2,16(sp)
4000bf8c: 49b2 lw s3,12(sp)
4000bf8e: 4a22 lw s4,8(sp)
4000bf90: 4a92 lw s5,4(sp)
4000bf92: 6105 addi sp,sp,32
4000bf94: 8082 ret
4000bf96: 3fce0a37 lui s4,0x3fce0
4000bf9a: f88a2783 lw a5,-120(s4) # 3fcdff88 <r_modules_funcs_p>
4000bf9e: 00891613 slli a2,s2,0x8
4000bfa2: 00166613 ori a2,a2,1
4000bfa6: 0c87a783 lw a5,200(a5)
4000bfaa: 03000693 li a3,48
4000bfae: 85b2 mv a1,a2
4000bfb0: 10b00513 li a0,267
4000bfb4: 9782 jalr a5
4000bfb6: 03000613 li a2,48
4000bfba: 85a2 mv a1,s0
4000bfbc: 89aa mv s3,a0
4000bfbe: 7fc4c0ef jal ra,400587ba <memcpy>
4000bfc2: f8c4a783 lw a5,-116(s1)
4000bfc6: 854e mv a0,s3
4000bfc8: 4605 li a2,1
4000bfca: 5f87a703 lw a4,1528(a5)
4000bfce: 6847a783 lw a5,1668(a5)
4000bfd2: 85ca mv a1,s2
4000bfd4: 00e9a023 sw a4,0(s3)
4000bfd8: 9782 jalr a5
4000bfda: f88a2783 lw a5,-120(s4)
4000bfde: 854e mv a0,s3
4000bfe0: 0e07a783 lw a5,224(a5)
4000bfe4: 9782 jalr a5
4000bfe6: f8c4a783 lw a5,-116(s1)
4000bfea: 4462 lw s0,24(sp)
4000bfec: 40f2 lw ra,28(sp)
4000bfee: 44d2 lw s1,20(sp)
4000bff0: 49b2 lw s3,12(sp)
4000bff2: 4a22 lw s4,8(sp)
4000bff4: 4a92 lw s5,4(sp)
4000bff6: 6907a303 lw t1,1680(a5)
4000bffa: 854a mv a0,s2
4000bffc: 4942 lw s2,16(sp)
4000bffe: 4585 li a1,1
4000c000: 6105 addi sp,sp,32
4000c002: 8302 jr t1
4000c004: f8c4a783 lw a5,-116(s1)
4000c008: 4681 li a3,0
4000c00a: 8652 mv a2,s4
4000c00c: 5bc7a783 lw a5,1468(a5)
4000c010: 45bd li a1,15
4000c012: 854a mv a0,s2
4000c014: 9782 jalr a5
4000c016: bfc1 j 4000bfe6 <r_llc_rem_con_upd_proc_continue+0x258>
4000c018: 3fce07b7 lui a5,0x3fce0
4000c01c: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000c020: 8522 mv a0,s0
4000c022: 00c7a983 lw s3,12(a5)
4000c026: f8c4a783 lw a5,-116(s1)
4000c02a: 6807a783 lw a5,1664(a5)
4000c02e: 9782 jalr a5
4000c030: 3ff1b637 lui a2,0x3ff1b
4000c034: 85aa mv a1,a0
4000c036: 2ea00693 li a3,746
4000c03a: 87c60613 addi a2,a2,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000c03e: 854a mv a0,s2
4000c040: 834e mv t1,s3
4000c042: b3cd j 4000be24 <r_llc_rem_con_upd_proc_continue+0x96>
4000c044: f8c4a783 lw a5,-116(s1)
4000c048: 8622 mv a2,s0
4000c04a: 85d2 mv a1,s4
4000c04c: 5f07a783 lw a5,1520(a5)
4000c050: 854a mv a0,s2
4000c052: 9782 jalr a5
4000c054: 0429d783 lhu a5,66(s3)
4000c058: 9bbd andi a5,a5,-17
4000c05a: 04f99123 sh a5,66(s3)
4000c05e: b761 j 4000bfe6 <r_llc_rem_con_upd_proc_continue+0x258>
4000c060 <r_llc_hci_con_param_req_evt_send>:
4000c060: 3fce07b7 lui a5,0x3fce0
4000c064: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000c068: 7179 addi sp,sp,-48
4000c06a: d422 sw s0,40(sp)
4000c06c: 0c87a783 lw a5,200(a5)
4000c070: ce4e sw s3,28(sp)
4000c072: 842a mv s0,a0
4000c074: 89ae mv s3,a1
4000c076: 85aa mv a1,a0
4000c078: 6505 lui a0,0x1
4000c07a: d226 sw s1,36(sp)
4000c07c: d04a sw s2,32(sp)
4000c07e: d606 sw ra,44(sp)
4000c080: 8932 mv s2,a2
4000c082: 84b6 mv s1,a3
4000c084: 03e00613 li a2,62
4000c088: 46b1 li a3,12
4000c08a: 10450513 addi a0,a0,260 # 1104 <RvExcFrameSize+0x1074>
4000c08e: c63a sw a4,12(sp)
4000c090: 9782 jalr a5
4000c092: 4699 li a3,6
4000c094: 00d50023 sb a3,0(a0)
4000c098: 3fce07b7 lui a5,0x3fce0
4000c09c: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000c0a0: 4732 lw a4,12(sp)
4000c0a2: 00851123 sh s0,2(a0)
4000c0a6: 5422 lw s0,40(sp)
4000c0a8: 01351223 sh s3,4(a0)
4000c0ac: 01251323 sh s2,6(a0)
4000c0b0: 00951423 sh s1,8(a0)
4000c0b4: 50b2 lw ra,44(sp)
4000c0b6: 5492 lw s1,36(sp)
4000c0b8: 5902 lw s2,32(sp)
4000c0ba: 49f2 lw s3,28(sp)
4000c0bc: 08c7a303 lw t1,140(a5)
4000c0c0: 00e51523 sh a4,10(a0)
4000c0c4: 6145 addi sp,sp,48
4000c0c6: 8302 jr t1
4000c0c8 <r_llc_con_plan_set_update>:
4000c0c8: 3fce0737 lui a4,0x3fce0
4000c0cc: 715d addi sp,sp,-80
4000c0ce: 00251793 slli a5,a0,0x2
4000c0d2: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000c0d6: 97ba add a5,a5,a4
4000c0d8: c2a6 sw s1,68(sp)
4000c0da: 3fce04b7 lui s1,0x3fce0
4000c0de: da56 sw s5,52(sp)
4000c0e0: 0007aa83 lw s5,0(a5)
4000c0e4: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
4000c0e8: c4a2 sw s0,72(sp)
4000c0ea: de4e sw s3,60(sp)
4000c0ec: 4f07a783 lw a5,1264(a5)
4000c0f0: c686 sw ra,76(sp)
4000c0f2: c0ca sw s2,64(sp)
4000c0f4: dc52 sw s4,56(sp)
4000c0f6: d85a sw s6,48(sp)
4000c0f8: d65e sw s7,44(sp)
4000c0fa: d462 sw s8,40(sp)
4000c0fc: c62e sw a1,12(sp)
4000c0fe: 89aa mv s3,a0
4000c100: 9782 jalr a5
4000c102: 45b2 lw a1,12(sp)
4000c104: 842a mv s0,a0
4000c106: 12058c63 beqz a1,4000c23e <r_llc_con_plan_set_update+0x176>
4000c10a: 0105db83 lhu s7,16(a1)
4000c10e: 4789 li a5,2
4000c110: 00fbf363 bgeu s7,a5,4000c116 <r_llc_con_plan_set_update+0x4e>
4000c114: 4b89 li s7,2
4000c116: 0125db03 lhu s6,18(a1)
4000c11a: 4789 li a5,2
4000c11c: 00fb7363 bgeu s6,a5,4000c122 <r_llc_con_plan_set_update+0x5a>
4000c120: 4b09 li s6,2
4000c122: f8c4a783 lw a5,-116(s1)
4000c126: 854e mv a0,s3
4000c128: 3fce0a37 lui s4,0x3fce0
4000c12c: 3747a783 lw a5,884(a5)
4000c130: 9782 jalr a5
4000c132: 00ead903 lhu s2,14(s5)
4000c136: 8c2a mv s8,a0
4000c138: 0906 slli s2,s2,0x1
4000c13a: ec01 bnez s0,4000c152 <r_llc_con_plan_set_update+0x8a>
4000c13c: f80a2783 lw a5,-128(s4) # 3fcdff80 <r_plf_funcs_p>
4000c140: 3ff1b5b7 lui a1,0x3ff1b
4000c144: 3e600613 li a2,998
4000c148: 479c lw a5,8(a5)
4000c14a: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000c14e: 4501 li a0,0
4000c150: 9782 jalr a5
4000c152: 00091d63 bnez s2,4000c16c <r_llc_con_plan_set_update+0xa4>
4000c156: f80a2783 lw a5,-128(s4)
4000c15a: 3ff1b5b7 lui a1,0x3ff1b
4000c15e: 3e700613 li a2,999
4000c162: 479c lw a5,8(a5)
4000c164: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000c168: 4501 li a0,0
4000c16a: 9782 jalr a5
4000c16c: f8c4a783 lw a5,-116(s1)
4000c170: 8522 mv a0,s0
4000c172: 6f47a783 lw a5,1780(a5)
4000c176: 9782 jalr a5
4000c178: 042ad783 lhu a5,66(s5)
4000c17c: 01242223 sw s2,4(s0)
4000c180: 01842423 sw s8,8(s0)
4000c184: 01742623 sw s7,12(s0)
4000c188: 01642823 sw s6,16(s0)
4000c18c: 8b85 andi a5,a5,1
4000c18e: eb9d bnez a5,4000c1c4 <r_llc_con_plan_set_update+0xfc>
4000c190: fff90a93 addi s5,s2,-1
4000c194: 9ae2 add s5,s5,s8
4000c196: 00091d63 bnez s2,4000c1b0 <r_llc_con_plan_set_update+0xe8>
4000c19a: f80a2783 lw a5,-128(s4)
4000c19e: 3ff1b5b7 lui a1,0x3ff1b
4000c1a2: 09400613 li a2,148
4000c1a6: 479c lw a5,8(a5)
4000c1a8: 87c58593 addi a1,a1,-1924 # 3ff1a87c <cs_ip_funcs_eco+0xc0>
4000c1ac: 4501 li a0,0
4000c1ae: 9782 jalr a5
4000c1b0: 032af933 remu s2,s5,s2
4000c1b4: 445c lw a5,12(s0)
4000c1b6: 078d addi a5,a5,3
4000c1b8: c45c sw a5,12(s0)
4000c1ba: 481c lw a5,16(s0)
4000c1bc: 078d addi a5,a5,3
4000c1be: c81c sw a5,16(s0)
4000c1c0: 01242423 sw s2,8(s0)
4000c1c4: f80a2783 lw a5,-128(s4)
4000c1c8: 5f9c lw a5,56(a5)
4000c1ca: 9782 jalr a5
4000c1cc: 01554703 lbu a4,21(a0)
4000c1d0: 4785 li a5,1
4000c1d2: 06f71863 bne a4,a5,4000c242 <r_llc_con_plan_set_update+0x17a>
4000c1d6: f8c4a783 lw a5,-116(s1)
4000c1da: 854e mv a0,s3
4000c1dc: 01042903 lw s2,16(s0)
4000c1e0: 3407a783 lw a5,832(a5)
4000c1e4: 9782 jalr a5
4000c1e6: 8105 srli a0,a0,0x1
4000c1e8: 27100793 li a5,625
4000c1ec: 02f55533 divu a0,a0,a5
4000c1f0: 01257363 bgeu a0,s2,4000c1f6 <r_llc_con_plan_set_update+0x12e>
4000c1f4: 854a mv a0,s2
4000c1f6: f8c4a783 lw a5,-116(s1)
4000c1fa: c808 sw a0,16(s0)
4000c1fc: 854e mv a0,s3
4000c1fe: 3407a783 lw a5,832(a5)
4000c202: 00c42903 lw s2,12(s0)
4000c206: 9782 jalr a5
4000c208: 8105 srli a0,a0,0x1
4000c20a: 27100793 li a5,625
4000c20e: 02f55533 divu a0,a0,a5
4000c212: 01257363 bgeu a0,s2,4000c218 <r_llc_con_plan_set_update+0x150>
4000c216: 854a mv a0,s2
4000c218: c448 sw a0,12(s0)
4000c21a: f8c4a783 lw a5,-116(s1)
4000c21e: 8522 mv a0,s0
4000c220: 6fc7a783 lw a5,1788(a5)
4000c224: 9782 jalr a5
4000c226: 40b6 lw ra,76(sp)
4000c228: 4426 lw s0,72(sp)
4000c22a: 4496 lw s1,68(sp)
4000c22c: 4906 lw s2,64(sp)
4000c22e: 59f2 lw s3,60(sp)
4000c230: 5a62 lw s4,56(sp)
4000c232: 5ad2 lw s5,52(sp)
4000c234: 5b42 lw s6,48(sp)
4000c236: 5bb2 lw s7,44(sp)
4000c238: 5c22 lw s8,40(sp)
4000c23a: 6161 addi sp,sp,80
4000c23c: 8082 ret
4000c23e: 4b89 li s7,2
4000c240: b5c5 j 4000c120 <r_llc_con_plan_set_update+0x58>
4000c242: f80a2783 lw a5,-128(s4)
4000c246: 5f9c lw a5,56(a5)
4000c248: 9782 jalr a5
4000c24a: 01554703 lbu a4,21(a0)
4000c24e: 4789 li a5,2
4000c250: fcf715e3 bne a4,a5,4000c21a <r_llc_con_plan_set_update+0x152>
4000c254: f8c4a783 lw a5,-116(s1)
4000c258: 01e10613 addi a2,sp,30
4000c25c: 086c addi a1,sp,28
4000c25e: 3447a783 lw a5,836(a5)
4000c262: 854e mv a0,s3
4000c264: 9782 jalr a5
4000c266: f955 bnez a0,4000c21a <r_llc_con_plan_set_update+0x152>
4000c268: 01c15783 lhu a5,28(sp)
4000c26c: c81c sw a5,16(s0)
4000c26e: 01e15783 lhu a5,30(sp)
4000c272: c45c sw a5,12(s0)
4000c274: b75d j 4000c21a <r_llc_con_plan_set_update+0x152>
4000c276 <r_llc_hci_con_upd_info_send>:
4000c276: 3fce0737 lui a4,0x3fce0
4000c27a: 00251793 slli a5,a0,0x2
4000c27e: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000c282: 7179 addi sp,sp,-48
4000c284: 97ba add a5,a5,a4
4000c286: d422 sw s0,40(sp)
4000c288: ce4e sw s3,28(sp)
4000c28a: 4380 lw s0,0(a5)
4000c28c: 02d64983 lbu s3,45(a2)
4000c290: 02e64783 lbu a5,46(a2)
4000c294: d226 sw s1,36(sp)
4000c296: d04a sw s2,32(sp)
4000c298: ca56 sw s5,20(sp)
4000c29a: d606 sw ra,44(sp)
4000c29c: cc52 sw s4,24(sp)
4000c29e: 892a mv s2,a0
4000c2a0: 8aae mv s5,a1
4000c2a2: 84b2 mv s1,a2
4000c2a4: 00f9e9b3 or s3,s3,a5
4000c2a8: ed9d bnez a1,4000c2e6 <r_llc_hci_con_upd_info_send+0x70>
4000c2aa: 02865783 lhu a5,40(a2)
4000c2ae: 00e45703 lhu a4,14(s0)
4000c2b2: 00f71663 bne a4,a5,4000c2be <r_llc_hci_con_upd_info_send+0x48>
4000c2b6: 4654 lw a3,12(a2)
4000c2b8: 4818 lw a4,16(s0)
4000c2ba: 00e68d63 beq a3,a4,4000c2d4 <r_llc_hci_con_upd_info_send+0x5e>
4000c2be: 00f41723 sh a5,14(s0)
4000c2c2: 00c4d783 lhu a5,12(s1)
4000c2c6: 4985 li s3,1
4000c2c8: 00f41823 sh a5,16(s0)
4000c2cc: 00e4d783 lhu a5,14(s1)
4000c2d0: 00f41923 sh a5,18(s0)
4000c2d4: 3fce07b7 lui a5,0x3fce0
4000c2d8: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000c2dc: 85a6 mv a1,s1
4000c2de: 854a mv a0,s2
4000c2e0: 5e47a783 lw a5,1508(a5)
4000c2e4: 9782 jalr a5
4000c2e6: 04098d63 beqz s3,4000c340 <r_llc_hci_con_upd_info_send+0xca>
4000c2ea: 3fce07b7 lui a5,0x3fce0
4000c2ee: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000c2f2: 01091a13 slli s4,s2,0x10
4000c2f6: 010a5a13 srli s4,s4,0x10
4000c2fa: 0c87a783 lw a5,200(a5)
4000c2fe: 6505 lui a0,0x1
4000c300: 46a9 li a3,10
4000c302: 4601 li a2,0
4000c304: 85d2 mv a1,s4
4000c306: 10450513 addi a0,a0,260 # 1104 <RvExcFrameSize+0x1074>
4000c30a: 9782 jalr a5
4000c30c: 470d li a4,3
4000c30e: 00e50023 sb a4,0(a0)
4000c312: 015500a3 sb s5,1(a0)
4000c316: 00e45703 lhu a4,14(s0)
4000c31a: 3fce07b7 lui a5,0x3fce0
4000c31e: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000c322: 00e51223 sh a4,4(a0)
4000c326: 01045703 lhu a4,16(s0)
4000c32a: 08c7a783 lw a5,140(a5)
4000c32e: 01451123 sh s4,2(a0)
4000c332: 00e51323 sh a4,6(a0)
4000c336: 01245703 lhu a4,18(s0)
4000c33a: 00e51423 sh a4,8(a0)
4000c33e: 9782 jalr a5
4000c340: 02d4c783 lbu a5,45(s1)
4000c344: c791 beqz a5,4000c350 <r_llc_hci_con_upd_info_send+0xda>
4000c346: 04544783 lbu a5,69(s0)
4000c34a: 9bbd andi a5,a5,-17
4000c34c: 04f402a3 sb a5,69(s0)
4000c350: 04098d63 beqz s3,4000c3aa <r_llc_hci_con_upd_info_send+0x134>
4000c354: 3fce04b7 lui s1,0x3fce0
4000c358: fc84a783 lw a5,-56(s1) # 3fcdffc8 <bt_rf_coex_hooks_p>
4000c35c: c7b9 beqz a5,4000c3aa <r_llc_hci_con_upd_info_send+0x134>
4000c35e: 43dc lw a5,4(a5)
4000c360: c7a9 beqz a5,4000c3aa <r_llc_hci_con_upd_info_send+0x134>
4000c362: 3fce07b7 lui a5,0x3fce0
4000c366: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000c36a: 854a mv a0,s2
4000c36c: 4f07a783 lw a5,1264(a5)
4000c370: 9782 jalr a5
4000c372: 415c lw a5,4(a0)
4000c374: 00011523 sh zero,10(sp)
4000c378: 00011623 sh zero,12(sp)
4000c37c: 00f11223 sh a5,4(sp)
4000c380: 01045783 lhu a5,16(s0)
4000c384: 00011723 sh zero,14(sp)
4000c388: 0050 addi a2,sp,4
4000c38a: 00f11323 sh a5,6(sp)
4000c38e: 491c lw a5,16(a0)
4000c390: 459d li a1,7
4000c392: 854a mv a0,s2
4000c394: 00f11423 sh a5,8(sp)
4000c398: 04244783 lbu a5,66(s0)
4000c39c: 8b85 andi a5,a5,1
4000c39e: 00f10523 sb a5,10(sp)
4000c3a2: fc84a783 lw a5,-56(s1)
4000c3a6: 43dc lw a5,4(a5)
4000c3a8: 9782 jalr a5
4000c3aa: 50b2 lw ra,44(sp)
4000c3ac: 5422 lw s0,40(sp)
4000c3ae: 5492 lw s1,36(sp)
4000c3b0: 5902 lw s2,32(sp)
4000c3b2: 49f2 lw s3,28(sp)
4000c3b4: 4a62 lw s4,24(sp)
4000c3b6: 4ad2 lw s5,20(sp)
4000c3b8: 6145 addi sp,sp,48
4000c3ba: 8082 ret
4000c3bc <r_llc_loc_con_upd_proc_err_cb>:
4000c3bc: 7179 addi sp,sp,-48
4000c3be: 3fce07b7 lui a5,0x3fce0
4000c3c2: cec78713 addi a4,a5,-788 # 3fcdfcec <llc_env>
4000c3c6: ce4e sw s3,28(sp)
4000c3c8: 00251993 slli s3,a0,0x2
4000c3cc: 974e add a4,a4,s3
4000c3ce: 4318 lw a4,0(a4)
4000c3d0: d422 sw s0,40(sp)
4000c3d2: d226 sw s1,36(sp)
4000c3d4: 04275403 lhu s0,66(a4)
4000c3d8: d04a sw s2,32(sp)
4000c3da: cec78493 addi s1,a5,-788
4000c3de: 8805 andi s0,s0,1
4000c3e0: 00143413 seqz s0,s0
4000c3e4: d606 sw ra,44(sp)
4000c3e6: 4785 li a5,1
4000c3e8: 892a mv s2,a0
4000c3ea: 0409 addi s0,s0,2
4000c3ec: 06f58f63 beq a1,a5,4000c46a <r_llc_loc_con_upd_proc_err_cb+0xae>
4000c3f0: cd91 beqz a1,4000c40c <r_llc_loc_con_upd_proc_err_cb+0x50>
4000c3f2: 4789 li a5,2
4000c3f4: 08f58263 beq a1,a5,4000c478 <r_llc_loc_con_upd_proc_err_cb+0xbc>
4000c3f8: 478d li a5,3
4000c3fa: 08f58263 beq a1,a5,4000c47e <r_llc_loc_con_upd_proc_err_cb+0xc2>
4000c3fe: 50b2 lw ra,44(sp)
4000c400: 5422 lw s0,40(sp)
4000c402: 5492 lw s1,36(sp)
4000c404: 5902 lw s2,32(sp)
4000c406: 49f2 lw s3,28(sp)
4000c408: 6145 addi sp,sp,48
4000c40a: 8082 ret
4000c40c: 00064603 lbu a2,0(a2)
4000c410: 4419 li s0,6
4000c412: d675 beqz a2,4000c3fe <r_llc_loc_con_upd_proc_err_cb+0x42>
4000c414: fe760713 addi a4,a2,-25
4000c418: 0ff77713 andi a4,a4,255
4000c41c: 4785 li a5,1
4000c41e: 02e7e763 bltu a5,a4,4000c44c <r_llc_loc_con_upd_proc_err_cb+0x90>
4000c422: 3fce07b7 lui a5,0x3fce0
4000c426: f807a783 lw a5,-128(a5) # 3fcdff80 <r_plf_funcs_p>
4000c42a: c632 sw a2,12(sp)
4000c42c: 5f9c lw a5,56(a5)
4000c42e: 9782 jalr a5
4000c430: 00d54783 lbu a5,13(a0)
4000c434: 4632 lw a2,12(sp)
4000c436: 00f97b63 bgeu s2,a5,4000c44c <r_llc_loc_con_upd_proc_err_cb+0x90>
4000c43a: 013487b3 add a5,s1,s3
4000c43e: 439c lw a5,0(a5)
4000c440: c791 beqz a5,4000c44c <r_llc_loc_con_upd_proc_err_cb+0x90>
4000c442: 0307c703 lbu a4,48(a5)
4000c446: 9b75 andi a4,a4,-3
4000c448: 02e78823 sb a4,48(a5)
4000c44c: 3fce07b7 lui a5,0x3fce0
4000c450: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000c454: 85a2 mv a1,s0
4000c456: 5422 lw s0,40(sp)
4000c458: 50b2 lw ra,44(sp)
4000c45a: 5492 lw s1,36(sp)
4000c45c: 49f2 lw s3,28(sp)
4000c45e: 5f47a303 lw t1,1524(a5)
4000c462: 854a mv a0,s2
4000c464: 5902 lw s2,32(sp)
4000c466: 6145 addi sp,sp,48
4000c468: 8302 jr t1
4000c46a: 00164703 lbu a4,1(a2)
4000c46e: 47bd li a5,15
4000c470: f8f717e3 bne a4,a5,4000c3fe <r_llc_loc_con_upd_proc_err_cb+0x42>
4000c474: 4669 li a2,26
4000c476: b775 j 4000c422 <r_llc_loc_con_upd_proc_err_cb+0x66>
4000c478: 00164603 lbu a2,1(a2)
4000c47c: bf59 j 4000c412 <r_llc_loc_con_upd_proc_err_cb+0x56>
4000c47e: 00164703 lbu a4,1(a2)
4000c482: 47bd li a5,15
4000c484: f6f71de3 bne a4,a5,4000c3fe <r_llc_loc_con_upd_proc_err_cb+0x42>
4000c488: 00264603 lbu a2,2(a2)
4000c48c: b759 j 4000c412 <r_llc_loc_con_upd_proc_err_cb+0x56>
4000c48e <r_llc_rem_con_upd_proc_err_cb>:
4000c48e: 4789 li a5,2
4000c490: 00f58a63 beq a1,a5,4000c4a4 <r_llc_rem_con_upd_proc_err_cb+0x16>
4000c494: 478d li a5,3
4000c496: 02f58263 beq a1,a5,4000c4ba <r_llc_rem_con_upd_proc_err_cb+0x2c>
4000c49a: e985 bnez a1,4000c4ca <r_llc_rem_con_upd_proc_err_cb+0x3c>
4000c49c: 00064603 lbu a2,0(a2)
4000c4a0: 45ad li a1,11
4000c4a2: a021 j 4000c4aa <r_llc_rem_con_upd_proc_err_cb+0x1c>
4000c4a4: 00164603 lbu a2,1(a2)
4000c4a8: 45a5 li a1,9
4000c4aa: c205 beqz a2,4000c4ca <r_llc_rem_con_upd_proc_err_cb+0x3c>
4000c4ac: 3fce07b7 lui a5,0x3fce0
4000c4b0: f8c7a783 lw a5,-116(a5) # 3fcdff8c <r_ip_funcs_p>
4000c4b4: 6007a303 lw t1,1536(a5)
4000c4b8: 8302 jr t1
4000c4ba: 00164703 lbu a4,1(a2)
4000c4be: 47c1 li a5,16
4000c4c0: 00f71563 bne a4,a5,4000c4ca <r_llc_rem_con_upd_proc_err_cb+0x3c>
4000c4c4: 00264603 lbu a2,2(a2)
4000c4c8: b7c5 j 4000c4a8 <r_llc_rem_con_upd_proc_err_cb+0x1a>
4000c4ca: 8082 ret
4000c4cc <ll_connection_update_ind_handler>:
4000c4cc: 3fce0737 lui a4,0x3fce0
4000c4d0: 1101 addi sp,sp,-32
4000c4d2: cec70713 addi a4,a4,-788 # 3fcdfcec <llc_env>
4000c4d6: 00251793 slli a5,a0,0x2
4000c4da: 97ba add a5,a5,a4
4000c4dc: ca26 sw s1,20(sp)
4000c4de: 3fce04b7 lui s1,0x3fce0
4000c4e2: c452 sw s4,8(sp)
4000c4e4: 0007aa03 lw s4,0(a5)
4000c4e8: f8c4a783 lw a5,-116(s1) # 3fcdff8c <r_ip_funcs_p>
4000c4ec: c64e sw s3,12(sp)
4000c4ee: 89b2 mv s3,a2
4000c4f0: 0045d603 lhu a2,4(a1)
4000c4f4: 0085d703 lhu a4,8(a1)
4000c4f8: 0065d683 lhu a3,6(a1)
4000c4fc: 5e87a783 lw a5,1512(a5)
4000c500: cc22 sw s0,24(sp)
4000c502: c84a sw s2,16(sp)
4000c504: ce06 sw ra,28(sp)
4000c506: 842e mv s0,a1
4000c508: 85b2 mv a1,a2
4000c50a: 892a mv s2,a0
4000c50c: 9782 jalr a5
4000c50e: 18050963 beqz a0,4000c6a0 <ll_connection_update_ind_handler+0x1d4>
4000c512: 00445783 lhu a5,4(s0)
4000c516: 00245703 lhu a4,2(s0)
4000c51a: 02000513 li a0,32
4000c51e: 02e7e563 bltu a5,a4,4000c548 <ll_connection_update_ind_handler+0x7c>
4000c522: 00144683 lbu a3,1(s0)
4000c526: c28d beqz a3,4000c548 <ll_connection_update_ind_handler+0x7c>
4000c528: 4625 li a2,9
4000c52a: 4721 li a4,8
4000c52c: 00f66463 bltu a2,a5,4000c534 <ll_connection_update_ind_handler+0x68>
4000c530: fff78713 addi a4,a5,-1
4000c534: 02000513 li a0,32
4000c538: 00d74863 blt a4,a3,4000c548 <ll_connection_update_ind_handler+0x7c>
4000c53c: 042a5783 lhu a5,66(s4)
4000c540: 8b85 andi a5,a5,1
4000c542: cb99 beqz a5,4000c558 <ll_connection_update_ind_handler+0x8c>
4000c544: 02400513 li a0,36
4000c548: 40f2 lw ra,28(sp)
4000c54a: 4462 lw s0,24(sp)
4000c54c: 44d2 lw s1,20(sp)
4000c54e: 4942 lw s2,16(sp)
4000c550: 49b2 lw s3,12(sp)
4000c552: 4a22 lw s4,8(sp)
4000c554: 6105 addi sp,sp,32
4000c556: 8082 ret
4000c558: 00a45783 lhu a5,10(s0)
4000c55c: 02800513 li a0,40
4000c560: 40f989b3 sub s3,s3,a5
4000c564: 09c2 slli s3,s3,0x10
4000c566: 67a1 lui a5,0x8
4000c568: 0109d993 srli s3,s3,0x10
4000c56c: 17f9 addi a5,a5,-2
4000c56e: fd37fde3 bgeu a5,s3,4000c548 <ll_connection_update_ind_handler+0x7c>
4000c572: f8c4a783 lw a5,-116(s1)
4000c576: 4581 li a1,0
4000c578: 854a mv a0,s2
4000c57a: 6787a783 lw a5,1656(a5) # 8678 <RvExcFrameSize+0x85e8>
4000c57e: 4995 li s3,5
4000c580: 9782 jalr a5
4000c582: f8c4a783 lw a5,-116(s1)
4000c586: 05351963 bne a0,s3,4000c5d8 <ll_connection_update_ind_handler+0x10c>
4000c58a: 6747a783 lw a5,1652(a5)
4000c58e: 4581 li a1,0
4000c590: 854a mv a0,s2
4000c592: 9782 jalr a5
4000c594: 00445783 lhu a5,4(s0)
4000c598: 4601 li a2,0
4000c59a: 458d li a1,3
4000c59c: 02f51423 sh a5,40(a0)
4000c5a0: 00645783 lhu a5,6(s0)
4000c5a4: 00f51623 sh a5,12(a0)
4000c5a8: 00845783 lhu a5,8(s0)
4000c5ac: 00f51723 sh a5,14(a0)
4000c5b0: 00a45783 lhu a5,10(s0)
4000c5b4: 02f51223 sh a5,36(a0)
4000c5b8: 00245783 lhu a5,2(s0)
4000c5bc: 02f51123 sh a5,34(a0)
4000c5c0: 00144783 lbu a5,1(s0)
4000c5c4: 02f50323 sb a5,38(a0)
4000c5c8: f8c4a783 lw a5,-116(s1)
4000c5cc: 5f47a783 lw a5,1524(a5)
4000c5d0: 854a mv a0,s2
4000c5d2: 9782 jalr a5
4000c5d4: 4501 li a0,0
4000c5d6: bf8d j 4000c548 <ll_connection_update_ind_handler+0x7c>
4000c5d8: 6787a783 lw a5,1656(a5)
4000c5dc: 4585 li a1,1
4000c5de: 854a mv a0,s2
4000c5e0: 9782 jalr a5
4000c5e2: 4a25 li s4,9
4000c5e4: e139 bnez a0,4000c62a <ll_connection_update_ind_handler+0x15e>
4000c5e6: 3fce07b7 lui a5,0x3fce0
4000c5ea: f887a783 lw a5,-120(a5) # 3fcdff88 <r_modules_funcs_p>
4000c5ee: 03000693 li a3,48
4000c5f2: 4605 li a2,1
4000c5f4: 0c87a783 lw a5,200(a5)
4000c5f8: 4585 li a1,1
4000c5fa: 10b00513 li a0,267
4000c5fe: 9782 jalr a5
4000c600: f8c4a783 lw a5,-116(s1)
4000c604: 01350223 sb s3,4(a0)
4000c608: 862a mv a2,a0
4000c60a: 6047a703 lw a4,1540(a5)
4000c60e: 67c7a783 lw a5,1660(a5)
4000c612: 020506a3 sb zero,45(a0)
4000c616: c118 sw a4,0(a0)
4000c618: 577d li a4,-1
4000c61a: 02050723 sb zero,46(a0)
4000c61e: 00e51b23 sh a4,22(a0)
4000c622: 4585 li a1,1
4000c624: 854a mv a0,s2
4000c626: 9782 jalr a5
4000c628: 4a1d li s4,7
4000c62a: f8c4a783 lw a5,-116(s1)
4000c62e: 4585 li a1,1
4000c630: 854a mv a0,s2
4000c632: 6787a783 lw a5,1656(a5)
4000c636: 9782 jalr a5
4000c638: 4795 li a5,5
4000c63a: f0f515e3 bne a0,a5,4000c544 <ll_connection_update_ind_handle
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment