Skip to content

Instantly share code, notes, and snippets.

@stylesuxx
Last active January 2, 2016 08:19
Show Gist options
  • Save stylesuxx/8276184 to your computer and use it in GitHub Desktop.
Save stylesuxx/8276184 to your computer and use it in GitHub Desktop.
# Place in the folder where all the libdrc dependencies are.
#
# Remove the mac80211 module and load the patched one
# For this to work we need to first unload all the wifi modules, load
# the patched mac80211 stack and then load back the wifi modules.
# After this hostappd and netboot are started. One can connect to both of them via sudo screen.
WLAN=wlan1 # Interface Name
BSSID=34:af:2c:4d:a7:65 # BSSID of the WiiU pad
DOMAIN=AT # The regulatory domain for WiFi
# Stop network manager
service network-manager stop
# unload modules in the correct order
rmmod rt73usb
rmmod rt2800usb
rmmod rt2800lib
rmmod rt2x00usb
rmmod rt2x00lib
rmmod iwldvm
rmmod mac80211
# Load patched mac80211 module and rt2800 modules
insmod drc-mac80211/mac80211.ko
modprobe rt2800usb
# give it some time to load and check if everything is OK.
sleep 1
test -f "/sys/class/net/$WLAN/tsf" && echo 'Patched mac80211 and rt2800usb loaded.'
# set ap subnet
ip a a 192.168.1.10/24 dev $WLAN
ip l set mtu 1800 dev $WLAN
# Set regulatory domain
iw reg set $DOMAIN
# Start hostappd and netboot via screen
screen -dmS hostappd drc-hostap/hostapd/hostapd -dd drc-hostap/conf/wiiu_ap_normal.conf
screen -dmS netboot netboot/netboot 192.168.1.255 192.168.1.10 192.168.1.11 $BSSID
echo 'sudo screen -r hostappd'
echo 'sudo screen -r netboot'
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment