Skip to content

Instantly share code, notes, and snippets.

@tanakamura
Created May 13, 2016 13:03
Show Gist options
  • Save tanakamura/8d59df5f72d38d087427b36abede6718 to your computer and use it in GitHub Desktop.
Save tanakamura/8d59df5f72d38d087427b36abede6718 to your computer and use it in GitHub Desktop.
set output_dir ./out
file mkdir $output_dir
read_verilog top.v
synth_design -top top -part xc7z020clg484-1 -flatten rebuilt
opt_design
place_design
phys_opt_design
route_design
write_verilog -force $output_dir/netlist.v
write_xdc -no_fixed_only -force $output_dir/impl.xdc
write_bitstream -force $output_dir/result.bit
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment