Skip to content

Instantly share code, notes, and snippets.

@tedz2usa
Last active March 31, 2024 02:00
Show Gist options
  • Save tedz2usa/a2415f540a2dfccdb2811981c6461ecf to your computer and use it in GitHub Desktop.
Save tedz2usa/a2415f540a2dfccdb2811981c6461ecf to your computer and use it in GitHub Desktop.
Last Updated for Quartus II 18.1.0
Megafunctions
Set Generate Netlist to CHECKED.
Disable Advanced Fitter
Assignments => Settings => Compiler Settings => Advanced Settings (Fitter)
Set "Advanced Physical Optimization" to OFF.
Use Fast Fitter Effort
Assignments => Settings => Compiler Settings => Advanced Settings (Fitter)
Set "Fitter Effort" to Fast Fit.
Never do Final Placement Optimizations
Assignments => Settings => Compiler Settings => Advanced Settings (Fitter)
Set "Final Placement Optimizations" to Never.
Never do Final Aggressive Routability Optimizations
Assignments => Settings => Compiler Settings => Advanced Settings (Fitter)
Set "Final Agreesive Routability Optimizations" to Never.
Enable Smart Compilation
Assignments => Settings => Compilation Process Settings
Set "Use smart compilation" to CHECKED.
(TESTING)
Advanced Fitter Settings > Optimize Timing > Off
Advanced Fitter Settings > Optimize Multi-Corner Timing > Off
# Quartus, gitignore
# ignore Quartus II generated folders
db/
incremental_db/
simulation/
timing/
testbench/
*_sim/
incremental_db/
greybox_tmp/
output_files/
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment