Skip to content

Instantly share code, notes, and snippets.

@theokelo
Last active November 2, 2021 00:26
Show Gist options
  • Save theokelo/23121bf62092ec87d932a2734160fdbb to your computer and use it in GitHub Desktop.
Save theokelo/23121bf62092ec87d932a2734160fdbb to your computer and use it in GitHub Desktop.
## leds
set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS33} [get_ports LED]
#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS33 } [get_ports {LED}]; # red led
#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports {LED}]; # DATA 3-13
## external switches
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports sw0] # DATA 3-07
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS33} [get_ports sw1] # DATA 3-09
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment