This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
;; report 70 :8queen | |
;; (説明適当) | |
;;arrowと攻撃範囲の対応 | |
;; arrow -1-> (dx, dy) = (1 , -1) (右上) | |
;; arrow 0-> (dx, dy) = (1 , 0)(右) | |
;; arrow +1-> (dx, dy) = (1 , 1)(右下) | |
;; for x in 0..7 | |
;; for y in 0..7 | |
;; でチェックするようなイメージ。 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
(define (n-queen n) | |
(n-queen-iter n 0 "" (list 0 0 0))) | |
(define (n-queen-iter n y queens atkmaps) | |
(cond | |
((= y n) | |
()) | |
((= (string-length queens) n) | |
(list queens)) | |
(else |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* grass.pl */ | |
interpreter(Input) :- grassParse(Input, Code), grassRun(Code). | |
grassParse(X, Y) :- string_to_list(X, P), parser(P, Y). | |
parser(Input, Code) :- parserLL(Input, Code, start, []). | |
grassRun(Code) :- | |
defaultEnv(Env), defaultStack(Stack), grassVM(Code, Env, Stack). | |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
{-# LANGUAGE PackageImports #-} | |
module KeimaSearch (runMaze | |
, runMazeMap | |
, dijkstra | |
, aStarSearch | |
, sampleMaze | |
, sampleMaze2 | |
, sampleDijkstra | |
, sampleAStar |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
class UpdateStack | |
constructor: (@posPoint, @posColor, @next) -> | |
@revPoints = [] | |
add: (pos) -> | |
@revPoints.push pos | |
class Reversi | |
@black = 1 | |
@white = -1 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
# Maintainer: Tomoya Chiba <tomo.asleep@gmail.com> | |
pkgname=curry-darcs | |
pkgver=0.9.11 | |
pkgrel=1 | |
pkgdesc="the declarative multi-paradigm language" | |
arch=('i686' 'x86_64') | |
url="http://danae.uni-muenster.de/~lux/curry" | |
license=('unknown') | |
makedepends=('darcs' 'noweb') |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
VhdlTestScript.scenario "../src/alu.vhd" do | |
dependencies "../src/const/const_alu_ctl.vhd" | |
ports :alu_ctl, :a, :b, :result | |
clock :clk | |
MAX = 0xffffffff | |
step "alu_ctl_add", 1, 2, 3 | |
step "alu_ctl_add", 0xfffffffe, 1, 0xffffffff | |
step "alu_ctl_add", MAX, 1, 0 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.std_logic_1164.all; | |
use IEEE.numeric_std.all; | |
entity fmul is | |
port (A : in std_logic_vector(31 downto 0); | |
B : in std_logic_vector(31 downto 0); | |
clk : in std_logic; | |
R :out std_logic_vector(31 downto 0)); | |
end fmul; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
require 'yaml' | |
require 'erb' | |
require 'forwardable' | |
class Hash | |
def except_keys_in(*keys) | |
hash_clone = self.clone | |
keys.each { |k| hash_clone.delete k } | |
hash_clone | |
end |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
# $Id$ | |
# Contributor: Jan "heftig" Steffens <jan.steffens@gmail.com> | |
# Maintainer: tobias [ tobias at archlinux org ] | |
# Maintainer: Daniel J Griffiths <ghost1227@archlinux.us> | |
pkgname=gvim-python | |
pkgdesc='Vi Improved, a highly configurable, improved version of the vi text editor (with advanced features, such as a GUI) (Compiled with Python 3).' | |
_topver=7.4 | |
_patchlevel=86 | |
_versiondir="vim${_topver//./}" |
OlderNewer