I bought a Go board awhile back and only recently have been going through the associated tutorials. I was able to upload the verilog code for this project to my board using iceprog:
https://www.nandland.com/goboard/uart-go-board-project-part1.html
I was able to use screen to send commands to the fpga, but when I compiled the second verilog program (https://www.nandland.com/goboard/uart-go-board-project-part2.html) which sends data back from the fpga to my terminal, nothing worked :) So I went back to the previous project and uploaded it with iceprog, and now I'm not getting any output when I connect via screen.
What are some tips to start debugging what is going on? It seems like a lot of moving pieces.
Commands used for getting the code onto the board:
yosys -p "read_verilog uart_rx_to_7_seg_top.v; read_verilog binary_to_7_segment.v; read_verilog uart_rx.v; synth_ice40 -blif uart_rx_to_7_seg_top.blif" && \
arachne-pnr -d 1k -p ../Go_Board_Constraints.pcf -P vq100 -o uart_rx_to_7_seg_top.asc uart_rx_to_7_seg_top.blif && \
icepack uart_rx_to_7_seg_top.asc uart_rx_to_7_seg_top.bin && \
iceprog uart_rx_to_7_seg_top.bin