Skip to content

Instantly share code, notes, and snippets.

View vsalvati's full-sized avatar

Vinny Salvati vsalvati

  • EzTexting
  • Atlanta
View GitHub Profile
@vsalvati
vsalvati / GCD.scala
Created October 24, 2012 18:24
GCD in scala
package main.scala
object GCD
{
def gcd(a: Int,b: Int): Int = {
if(b ==0) a else gcd(b, a%b)
}
def main(args: Array[String]) {
println(gcd(25,15))