Skip to content

Instantly share code, notes, and snippets.

@wh4everest
Created December 29, 2019 21:24
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save wh4everest/f865fcbd58f593bf7238c22a03c7e181 to your computer and use it in GitHub Desktop.
Save wh4everest/f865fcbd58f593bf7238c22a03c7e181 to your computer and use it in GitHub Desktop.
Compile and burn ulx3s FPGA board examples without APIO (manually): https://github.com/ulx3s/fpga-odysseus/tree/master/tutorials/
yosys -p "synth_ecp5 -json top.json" *.v
nextpnr-ecp5 --json top.json --lpf ulx3s.lpf --package CABGA381 --85k --textcfg top.config
ecppack --db <path/to/cloned>/prjtrellis-db top.config top.bit # you can find the database at: https://github.com/SymbiFlow/prjtrellis-db/
ujprog top.bit
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment