This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
yosys -p "synth_ecp5 -json top.json" *.v | |
nextpnr-ecp5 --json top.json --lpf ulx3s.lpf --package CABGA381 --85k --textcfg top.config | |
ecppack --db <path/to/cloned>/prjtrellis-db top.config top.bit # you can find the database at: https://github.com/SymbiFlow/prjtrellis-db/ | |
ujprog top.bit |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
whoeverest@do-server:/var/log/nginx$ sudo systemctl status znc.service | |
● znc.service - ZNC, an advanced IRC bouncer | |
Loaded: loaded (/etc/systemd/system/znc.service; enabled; vendor preset: enabled) | |
Active: failed (Result: exit-code) since Sun 2020-01-05 17:19:49 UTC; 2min 38s ago | |
Process: 2255 ExecStart=/usr/bin/znc -f --datadir=/var/lib/znc (code=exited, status=217/USER) | |
Main PID: 2255 (code=exited, status=217/USER) | |
Jan 05 17:19:49 do-server systemd[1]: Started ZNC, an advanced IRC bouncer. | |
Jan 05 17:19:49 do-server systemd[2255]: znc.service: Failed at step USER spawning /usr/bin/znc: No such process | |
Jan 05 17:19:49 do-server systemd[1]: znc.service: Main process exited, code=exited, status=217/USER |
OlderNewer