Skip to content

Instantly share code, notes, and snippets.

@whitequark
Last active February 24, 2017 19:16
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save whitequark/e408320e5a048e20908cd16aa5d4b989 to your computer and use it in GitHub Desktop.
Save whitequark/e408320e5a048e20908cd16aa5d4b989 to your computer and use it in GitHub Desktop.
iCE40HX8K-B-EVM Yosys example
#!/bin/sh -ex
yosys -p "read_verilog -noautowire blink.v" -p "synth_ice40 -blif blink.blif"
arachne-pnr -d 8k -p iCE40HX8K-B-EVM.pcf -P ct256 blink.blif -o blink.txt
icetime -d hx8k -p iCE40HX8K-B-EVM.pcf -P ct256 blink.txt
icepack blink.txt blink.bin
iceprog -S blink.bin
set_io clk_12mhz J3
# D9
set_io --warn-no-port leds[0] B5
# D8
set_io --warn-no-port leds[1] B4
# D7
set_io --warn-no-port leds[2] A2
# D6
set_io --warn-no-port leds[3] A1
# D5
set_io --warn-no-port leds[4] C5
# D4
set_io --warn-no-port leds[5] C4
# D3
set_io --warn-no-port leds[6] B3
# D2
set_io --warn-no-port leds[7] C3
set_io --warn-no-port uart_tx B12
set_io --warn-no-port uart_rx B10
set_io --warn-no-port debug1 B16
set_io --warn-no-port debug2 C16
set_io --warn-no-port debug3 D16
set_io --warn-no-port debug4 E16
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment